Publications HAL de bruno rouzeyre

2021

Communication dans un congrès

titre
On Preventing SAT Attack with Decoy Key-Inputs
auteur
Quang-Linh Nguyen, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre
article
ISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, United States. pp.114-119, ⟨10.1109/ISVLSI51109.2021.00031⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03359458/file/ISVLSI2021_SKG_Lock_camera_ready_V2.pdf BibTex
titre
A Plug and Play Digital ABIST Controller for Analog Sensors in Secure Devices
auteur
Sébastien Lapeyre, Nicolas Valette, Marc Merandat, Marie-Lise Flottes, Bruno Rouzeyre, Arnaud Virazel
article
ETS 2021 - 26th IEEE European Test Symposium, May 2021, Bruges, Belgium. pp.1-4, ⟨10.1109/ETS50041.2021.9465480⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03305266/file/A_Plug_and_Play_Digital_ABIST_Controller_for_Analog_Sensors_in_Secure_Devices.pdf BibTex

Poster de conférence

titre
Sécurité et intégrité dans un Contexte Embarqué
auteur
Sébastien Lapeyre, Nicolas Valette, Marc Merandat, Marie-Lise Flottes, Bruno Rouzeyre, Arnaud Virazel
article
15e Colloque National du GDR SoC², Jun 2021, Rennes, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-03361957/file/SecuriteEtIntegriteDansUnContexteEmbarque_VF.pdf BibTex

2020

Communication dans un congrès

titre
A Secure Scan Controller for Protecting Logic Locking
auteur
Quang-Linh Nguyen, Emanuele Valea, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre
article
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-6, ⟨10.1109/IOLTS50870.2020.9159730⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02995199/file/scan_control_paper_2020_cam_ready.pdf BibTex
titre
Development and Application of Embedded Test Instruments to Digital, Analog/RFs and Secure ICs
auteur
Florence Azaïs, Serge Bernard, Mariane Comte, Bastien Deveautour, Sophie Dupuis, Hassan El Badawi, Marie-Lise Flottes, Patrick Girard, Vincent Kerzérho, Laurent Latorre, François Lefèvre, Bruno Rouzeyre, Emanuele Valea, Thibault Vayssade, Arnaud Virazel
article
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-4, ⟨10.1109/IOLTS50870.2020.9159723⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02993384/file/IOLTS20_v3%20FINAL.pdf BibTex

2019

Article dans une revue

titre
A Survey on Security Threats and Countermeasures in IEEE Test Standards
auteur
Emanuele Valea, Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IEEE Design & Test, 2019, 36 (3), pp.95-116. ⟨10.1109/MDAT.2019.2899064⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02166858/file/DT_DTSU-2018-07-0071.R1_Valea.pdf BibTex
titre
Stream vs Block ciphers for scan encryption
auteur
Emanuele Valea, Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
Microelectronics Journal, 2019, 86, pp.65-76. ⟨10.1016/j.mejo.2019.02.019⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02306938/file/JournalStreamvsBlock_revision.pdf BibTex
titre
Sensitivity to Laser Fault Injection: CMOS FD-SOI vs. CMOS bulk
auteur
J.-M. Dutertre, Vincent Beroulle, Philippe Candelier, Stephan de Castro, Louis-Barthelemy Faber, Marie-Lise Flottes, Philippe Gendrier, David Hely, Régis Leveugle, Paolo Maistri, Giorgio Di Natale, Athanasios Papadimitriou, Bruno Rouzeyre
article
IEEE Transactions on Device and Materials Reliability, 2019, 19 (1), pp.6-15. ⟨10.1109/TDMR.2018.2886463⟩
Accès au bibtex
BibTex
titre
Preventing Scan Attacks on Secure Circuits Through Scan Chain Encryption
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (3), pp.538-550. ⟨10.1109/TCAD.2018.2818722⟩
Accès au bibtex
BibTex

Communication dans un congrès

titre
A Comprehensive Approach to a Trusted Test Infrastructure
auteur
Marc Merandat, Vincent Reynaud, Emanuele Valea, Jerome Quevremont, Nicolas Valette, Paolo Maistri, Régis Leveugle, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre, Giorgio Di Natale
article
IVSW 2019 - 4th IEEE International Verification and Security Workshop, Jul 2019, Rhodes, Greece. pp.43-48, ⟨10.1109/IVSW.2019.8854428⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02306980/file/IVSW_2019_v1.8.pdf BibTex
titre
Stream Cipher Based Encryption in IEEE Test Standards
auteur
Emanuele Valea, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
TRUDEVICE 2019 - 8th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2019, Baden Baden, Germany
Accès au texte intégral et bibtex
https://hal.science/hal-02506743/file/Encrypted_JTAG.pdf BibTex
titre
Encryption-Based Secure JTAG
auteur
Emanuele Valea, Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
DDECS 2019 - 22nd International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2019, Cluj-Napoca, Romania. pp.1-6, ⟨10.1109/DDECS.2019.8724654⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02149061/file/Secure_JTAG_VALEA.pdf BibTex
titre
Providing Confidentiality and Integrity in Ultra Low Power IoT Devices
auteur
Emanuele Valea, Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Sophie Dupuis, Bruno Rouzeyre
article
DTIS 2019 - 14th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Apr 2019, Mykonos, Greece. ⟨10.1109/DTIS.2019.8735090⟩
Accès au texte intégral et bibtex
https://hal.science/hal-02166920/file/Valea_Confidentiality_and_Integrity_in_IoT.pdf BibTex

Poster de conférence

titre
Encryption Techniques for Test Infrastructures
auteur
Emanuele Valea, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
13e Colloque National Du GDR SoC², Jun 2019, Montpellier, France.
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-02306922/file/Stream_block_comparison.pdf BibTex

2018

Article dans une revue

titre
Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults
auteur
Raphael Viera, Jean-Max Dutertre, Marie-Lise Flottes, Olivier Potin, Giorgio Di Natale, Bruno Rouzeyre, Rodrigo Possamai Bastos
article
Microelectronics Reliability, 2018, 88-90, pp.128-134. ⟨10.1016/j.microrel.2018.07.111⟩
Accès au bibtex
BibTex
titre
Protection against Hardware Trojans with Logic Testing: Proposed Solutions and Challenges Ahead
auteur
Sophie Dupuis, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
IEEE Design & Test, 2018, 35 (2), pp.73-90. ⟨10.1109/MDAT.2017.2766170⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01688166/file/08081752_enligne24102017.pdf BibTex

Communication dans un congrès

titre
Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults
auteur
Raphael Viera, Jean-Max Dutertre, Marie-Lise Flottes, Olivier Potin, Giorgio Di Natale, Bruno Rouzeyre, Rodrigo Possamai Bastos
article
ESREF 2018 - European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, Oct 2018, Aalborg, Denmark
Accès au bibtex
BibTex
titre
Laser fault injection at the CMOS 28 nm technology node: an analysis of the fault model
auteur
Jean-Max Dutertre, Vincent Beroulle, Philippe Candelier, Stephan de Castro, Louis-Barthelemy Faber, Marie-Lise Flottes, Philippe Gendrier, David Hely, Régis Leveugle, Paolo Maistri, Giorgio Di Natale, Athanasios Papadimitriou, Bruno Rouzeyre
article
FDTC: Fault Diagnosis and Tolerance in Cryptography, Sep 2018, Amsterdam, Netherlands. pp.1-6, ⟨10.1109/FDTC.2018.00009⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01856008/file/HAL_2018_laser_accuracy_jmdutertre.pdf BibTex
titre
A new secure stream cipher for scan chain encryption
auteur
Mathieu da Silva, Emanuele Valea, Marie-Lise Flottes, Sophie Dupuis, Giorgio Di Natale, Bruno Rouzeyre
article
3rd IEEE International Verification and Security Workshop (IVSW 2018), Jul 2018, Platja d’Aro, Spain. pp.68-73, ⟨10.1109/IVSW.2018.8494852⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867256/file/IVSW18-camera-ready-v2.pdf BibTex
titre
The case of using CMOS FD-SOI rather than CMOS bulk to harden ICs against laser attacks
auteur
Jean-Max Dutertre, Vincent Beroulle, Philippe Candelier, Louis-Barthelemy Faber, Marie-Lise Flottes, Philippe Gendrier, David Hely, Régis Leveugle, Paolo Maistri, Giorgio Di Natale, Athanasios Papadimitriou, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2018, Platja d’Aro, Spain. pp.214-219, ⟨10.1109/IOLTS.2018.8474230⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01856000/file/HAL_Dutertre_The_case_of_using_CMOS_FD_SOI_rather_than_CMOS_bulk_to_harden%20_ICs%20against_laser_attacks.pdf BibTex
titre
Encryption of test data: which cipher is better?
auteur
Mathieu da Silva, Emanuele Valea, Marie-Lise Flottes, Sophie Dupuis, Giorgio Di Natale, Bruno Rouzeyre
article
PRIME: PhD Research in Microelectronics and Electronics, Jul 2018, Prague, Czech Republic. pp.85-88, ⟨10.1109/PRIME.2018.8430366⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867249/file/encryption-stream-or-block-camera-ready.pdf BibTex
titre
SI ECCS: SECure context saving for IoT devices
auteur
Emanuele Valea, Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre
article
DTIS 2018 - 13th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Apr 2018, Taormina, Italy. ⟨10.1109/DTIS.2018.8368561⟩
Accès au texte intégral et bibtex
https://hal.science/hal-01740173/file/SECure%20Context%20Saving%20for%20IoT%20Devices%20-%20Valea%20-%20DTIS%2718.pdf BibTex
titre
Does stream cipher-based scan chains encryption really prevent scan attacks?
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
TRUDEVICE Workshop, Mar 2018, Dresden, Germany
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867286/file/Differential%20attacks%20on%20stream%20cipher%20protection-vfinal.pdf BibTex

Poster de conférence

titre
Sécurité des moyens de test des SoC
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
Journée thématique des GDR SoC² et Sécurité Informatique : Sécurité des SoC complexes hétérogènes – de la TEE au matériel, Sep 2018, Paris, France. 2018
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01882552/file/Journee-GDR-TEE_Securite%20des%20moyens%20de%20test%20des%20SoC-200918.pdf BibTex
titre
SECCS: SECure Context Saving for IoT Devices
auteur
Emanuele Valea, Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre
article
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Accès au texte intégral et bibtex
https://hal.science/hal-02042659/file/SECure%20Context%20Saving%20for%20IoT%20Devices%20-%20Valea%20-%20GDR%2718.pdf BibTex
titre
Stream cipher-based scan encryption in test standards
auteur
Mathieu da Silva, Emanuele Valea, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867283/file/Stream%20cipher-based%20scan%20encryption%20in%20test%20standards.pdf BibTex
titre
Scan chain encryption in Test Standards
auteur
Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
SURREALIST: SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, May 2018, Bremen, Germany. , Workshop on SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, 2018
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01882578/file/SURREALIST_June2018_Bremen_Presentation.pdf BibTex
titre
Scan chain encryption, a countermeasure against scan attacks
auteur
Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
PHISIC: Practical Hardware Innovations in Security Implementation and Characterization, May 2018, Gardanne, France. , Workshop on Practical Hardware Innovations in Security Implementation and Characterization, 2018
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01882565/file/PHISIC_May2018_Gardanne_Presentation.pdf BibTex

2017

Communication dans un congrès

titre
Experimentations on scan chain encryption with PRESENT
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.45-50, ⟨10.1109/IVSW.2017.8031543⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01699258/file/Experimentation%20on%20Scan%20Chain%20Encryption-cameraready-IVSW17.pdf BibTex
titre
Hacking the Control Flow error detection mechanism
auteur
Giorgio Di Natale, Marie-Lise Flottes, Sophie Dupuis, Bruno Rouzeyre
article
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.51-56, ⟨10.1109/IVSW.2017.8031544⟩
Accès au bibtex
BibTex
titre
Scan chain encryption for the test, diagnosis and debug of secure circuits
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre, Paolo Prinetto, Marco Restifo
article
ETS: European Test Symposium, May 2017, Limassol, Cyprus. ⟨10.1109/ETS.2017.7968248⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01699254/file/Scan%20Chain%20Encryption-camera-ready.pdf BibTex
titre
Scan Chain Encryption
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
DOCTIS: Journée des Doctorants de l’école doctorale I2S, 2017, Montpellier, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867277/file/Scan%20Chain%20Encryption-DOCTISS-final.pdf BibTex

Poster de conférence

titre
Sécurisation des structures de test : étude comparative
auteur
Mathieu da Silva, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
11e Colloque National du GDR SoC/SiP, Jun 2017, Bordeaux, France. 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01867279/file/Securisation%20des%20infrastructures%20de%20test-etude%20comparative-GDR%20SoCSiP17.pdf BibTex
titre
Scan Chain Encryption for the Test, Diagnosis and Debug of Secure Circuits
auteur
Mathieu da Silva, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
SETS: South European Test Seminar, Mar 2017, Alpe d'Huez, France. , 2017
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01892667/file/SETS_March2017_Alpes%20Huez_Presentation.pdf BibTex

2016

Article dans une revue

titre
Frontside Versus Backside Laser Injection: A Comparative Study
auteur
Stephan de Castro, Jean-Max Dutertre, Bruno Rouzeyre, Giorgio Di Natale, Marie-Lise Flottes
article
ACM Journal on Emerging Technologies in Computing Systems, 2016, Special Issue on Secure and Trustworthy Computing, 13 (1), pp.7. ⟨10.1145/2845999⟩
Accès au bibtex
BibTex

Communication dans un congrès

titre
Duplication-based Concurrent Detection of Hardware Trojans in Integrated Circuits
auteur
Manikandan Palanichamy, Papa-Sidy Ba, Sophie Dupuis, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
TRUDEVICE, Nov 2016, Barcelona, Spain
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01385551/file/TRUDEVICE_2016.pdf BibTex
titre
Hardware Trust through Layout Filling: a Hardware Trojan Prevention Technique
auteur
Papa-Sidy Ba, Sophie Dupuis, Manikandan Palanichamy, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2016, Pittsburgh, United States. pp.254-259, ⟨10.1109/ISVLSI.2016.22⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01346529/file/9039a254.pdf BibTex
titre
Using Outliers to Detect Stealthy Hardware Trojan Triggering?
auteur
Papa-Sidy Ba, Sophie Dupuis, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
IVSW: International Verification and Security Workshop, Jul 2016, Sant Feliu de Guixols, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01347119/file/10-2.pdf BibTex

Poster de conférence

titre
Detection and Prevention of Hardware Trojan through Logic Testing
auteur
Papa-Sidy Ba, Sophie Dupuis, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
TRUDEVICE, Nov 2016, Barcelona, Spain. , 4th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, Manufacturing test of secure devices / Reverse engineering countermeasures / Other topics, pp.#33, 2016, Posters IV
Accès au bibtex
BibTex

2015

Communication dans un congrès

titre
Validation Of Single BBICS Architecture In Detecting Multiple Faults
auteur
Raphael Andreoni Camponogara-Viera, Rodrigo Possamai Bastos, Jean-Max Dutertre, Olivier Potin, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
ATS: Asian Test Symposium, Nov 2015, Mumbai, India
Accès au bibtex
BibTex
titre
Sensitivity to fault laser injection: a comparison between 28nm bulk and FD-SOI technology
auteur
Stephan de Castro, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Accès au bibtex
BibTex
titre
Multi-segment Enhanced Scan-chains for Secure ICs
auteur
Mafalda Cortez, Said Hamdioui, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ilia Polian
article
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Accès au bibtex
BibTex
titre
Hierarchical Secure DfT
auteur
Mafalda Cortez, Said Hamdioui, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
TRUDEVICE Workshop, Sep 2015, St Malo, France
Accès au bibtex
BibTex
titre
Hardware Trojan Prevention using Layout-Level Design Approach
auteur
Papa-Sidy Ba, Manikandan Palanichamy, Sophie Dupuis, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
ECCTD: European Conference on Circuit Theory and Design, Aug 2015, Trondheim, Norway. ⟨10.1109/ECCTD.2015.7300093⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01234072/file/HTpreventionusinglayoutlevedesignapproach.pdf BibTex
titre
Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated circuits
auteur
Stephan de Castro, Jean-Max Dutertre, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.362-367, ⟨10.1109/ISVLSI.2015.76⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01227138/file/hal_ISVLSI2015_Figure_of_merits_of_28nm_Si_technologies_for_implementing_laser_attack_resistant_security_dedicated_circuits.pdf BibTex
titre
3D DFT Challenges and Solutions
auteur
Yassine Fkih, Pascal Vivet, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale, Juergen Schloeffel
article
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.603-608, ⟨10.1109/ISVLSI.2015.11⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01234076/file/3DDFTChallengesAndSolutions.pdf BibTex
titre
Session-less based thermal-aware 3D-SIC test scheduling
auteur
Marie-Lise Flottes, João Azevedo, Giorgio Di Natale, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138732⟩
Accès au bibtex
BibTex
titre
On the limitations of logic testing for detecting Hardware Trojans Horses
auteur
Marie-Lise Flottes, Sophie Dupuis, Papa-Sidy Ba, Bruno Rouzeyre
article
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2015, Naples, Italy. ⟨10.1109/DTIS.2015.7127362⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01257837/file/OnTheLimitationsofLogicTestingforDetectingHTH.pdf BibTex
titre
New Testing Procedure for Finding Insertion Sites of Stealthy Hardware Trojans
auteur
Sophie Dupuis, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale, Papa-Sidy Ba
article
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.776-781, ⟨10.7873/DATE.2015.1102⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01141619/file/1102.pdf BibTex

2014

Article dans une revue

titre
Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS
auteur
Jean-Max Dutertre, Rodrigo Possamai Bastos, Olivier Potin, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale, Alexandre Sarafianos
article
Microelectronics Reliability, 2014, 54 (9-10), pp.2289-2294. ⟨10.1016/j.microrel.2014.07.151⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01094805/file/HAL_MR2014_BBICS.pdf BibTex
titre
Multi-Level Ionizing-Induced Transient Fault Simulator
auteur
Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Information Security Journal: A Global Perspective, 2014, 22 (5-6), pp.251-264. ⟨10.1080/19393555.2014.891280⟩
Accès au bibtex
BibTex
titre
On the Effectiveness of Hardware Trojan Horse Detection via Side-Channel Analysis
auteur
Sophie Dupuis, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Information Security Journal: A Global Perspective, 2014, Trustworthy Manufacturing and Utilization of Secure Devices, 22 (5-6), pp.226-236. ⟨10.1080/19393555.2014.891277⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00991362/file/dupuis_TRUDEVICE_FINAL.pdf BibTex
titre
Thwarting Scan-Based Attacks on Secure-ICs with On-Chip Comparison
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (4), pp.947-951. ⟨10.1109/TVLSI.2013.2257903⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00841650/file/6515126.pdf BibTex
titre
Test versus Security: Past and Present
auteur
Jean da Rolt, Amitabh Das, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede
article
IEEE Transactions on Emerging Topics in Computing, 2014, 2 (1), pp.50-62. ⟨10.1109/TETC.2014.2304492⟩
Accès au bibtex
BibTex

Communication dans un congrès

titre
Laser-Induced Fault Effects in Security-Dedicated Circuits
auteur
Vincent Beroulle, Philippe Candelier, Stephan de Castro, Giorgio Di Natale, Jean-Max Dutertre, Marie-Lise Flottes, David Hely, Guillaume Hubert, Régis Leveugle, Feng Lu, Paolo Maistri, Athanasios Papadimitriou, Bruno Rouzeyre, Clement Tavernier, Pierre Vanhauwaert
article
VLSI-SoC: Very Large Scale Integration and System-on-Chip, Oct 2014, Playa del Carmen, Mexico. pp.220-240, ⟨10.1007/978-3-319-25279-7_12⟩
Accès au texte intégral et bibtex
https://inria.hal.science/hal-01383737/file/371768_1_En_12_Chapter.pdf BibTex
titre
Design of Bulk Built-In Current Sensors to Detect Single Event Effects and Laser-Induced Fault Injection Attempts
auteur
Jean-Max Dutertre, Rodrigo Possamai Bastos, Olivier Potin, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
Joint MEDIAN–TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01099040/file/C_2014_2_trudevice_Poster_BBICS.pdf BibTex
titre
Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS
auteur
Jean-Max Dutertre, Rodrigo Possamai Bastos, Olivier Potin, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale, Alexandre Sarafianos
article
25th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2014), Sep 2014, Berlin, Germany
Accès au bibtex
BibTex
titre
2D to 3D Test Pattern Retargeting Using IEEE P1687 Based 3D DFT Architectures
auteur
Yassine Fkih, Pascal Vivet, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale, Juergen Schloeffel
article
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.386-391, ⟨10.1109/ISVLSI.2014.83⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01119605/file/2D%20to%203D%20Test%20Pattern%20Retargeting%20using%20IEEE%20P1687%20based%203D%20DFT%20Architectures_ISVLSI_2014.pdf BibTex
titre
A Novel Hardware Logic Encryption Technique for thwarting Illegal Overproduction and Hardware Trojans
auteur
Sophie Dupuis, Papa-Sidy Ba, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Girona, Spain. pp.49-54, ⟨10.1109/IOLTS.2014.6873671⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01025275/file/3-3.pdf BibTex
titre
Customized Cell Detector for Laser-Induced-Fault Detection
auteur
Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Spain. pp.37-42, ⟨10.1109/IOLTS.2014.6873669⟩
Accès au bibtex
BibTex
titre
Simulating Laser Effects on ICs, from Physical Level to Gate Level: a comprehensive approach
auteur
Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
TRUDEVICE Workshop, May 2014, Paderborn, Germany
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01119614/file/TRUDEVICE-2014-Paper%20Lu.pdf BibTex
titre
Layout-Aware Laser Fault Injection Simulation and Modeling: from physical level to gate level
auteur
Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850665⟩
Accès au bibtex
BibTex
titre
Laser attacks on integrated circuits: from CMOS to FD-SOI
auteur
Jean-Max Dutertre, Stephan de Castro, Alexandre Sarafianos, Noémie Boher, Bruno Rouzeyre, Mathieu Lisart, Joel Damiens, Philippe Candelier, Marie-Lise Flottes, Giorgio Di Natale
article
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850664⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01099042/file/hal_DTIS2014_jmd_Laser_attacks_on_integrated_circuits_from_CMOS_to_FDSOI.pdf BibTex
titre
Built-In Self-Test for Manufacturing TSV Defects before bonding
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Hakim Zimouche
article
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818771⟩
Accès au bibtex
BibTex

2013

Article dans une revue

titre
A Novel Differential Scan Attack on Advanced DFT Structures
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ACM Transactions on Design Automation of Electronic Systems, 2013, 18 (4), pp.58. ⟨10.1145/2505014⟩
Accès au bibtex
BibTex
titre
Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection
auteur
Jean-Max Dutertre, Rodrigo Possamai Bastos, Olivier Potin, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale
article
Microelectronics Reliability, 2013, European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, 53 (9), pp.1320-1324. ⟨10.1016/j.microrel.2013.07.069⟩
Accès au texte intégral et bibtex
https://hal-emse.ccsd.cnrs.fr/emse-01100723/file/HAL_MR_2013_Sensitivity_Tuning_of_a_Bulk_Built_In_Current_Sensor_for_Optimal_Transient_Fault_Detection.pdf BibTex
titre
A New Recovery Scheme Against Short-to-Long Duration Transient Faults in Combinational Logic
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Feng Lu, Bruno Rouzeyre
article
Journal of Electronic Testing: : Theory and Applications, 2013, 29, pp.331-340. ⟨10.1007/s10836-013-5359-y⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00838389/file/PaperJETTA-20120926-RPB.pdf BibTex
titre
Secure JTAG Implementation Using Schnorr Protocol
auteur
Amitabh Das, Jean da Rolt, Santosh Ghosh, Stefaan Seys, Sophie Dupuis, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede
article
Journal of Electronic Testing: : Theory and Applications, 2013, 29 (2), pp.193-209. ⟨10.1007/s10836-013-5369-9⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00837904/file/Secure_JTAG.pdf BibTex

Communication dans un congrès

titre
A BIST Method for TSVs Pre-Bond Test
auteur
Hakim Zimouche, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale
article
IDT'13: 8th IEEE International Design & Test Symposium, Dec 2013, Marrakesh, Morocco. pp.1-6, ⟨10.1109/IDT.2013.6727081⟩
Accès au bibtex
BibTex
titre
Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection
auteur
Jean-Max Dutertre, Rodrigo Possamai Bastos, Olivier Potin, Marie-Lise Flottes, Bruno Rouzeyre, Giorgio Di Natale
article
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Sep 2013, Arcachon, France. pp.B3c-2 #68
Accès au bibtex
BibTex
titre
3D Design For Test Architectures Based on IEEE P1687
auteur
Yassine Fkih, Pascal Vivet, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale, Juergen Schloeffel
article
4th IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (3D-TEST), Sep 2013, Anaheim, CA, United States
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00989717/file/3DDesignforTestArchitecturesbasedonIEEEP1687.pdf BibTex
titre
TSVs Pre-Bond Testing: a test scheme for capturing BIST responses
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Hakim Zimouche
article
3D-Test: Testing Three-Dimensional Stacked Integrated Circuits, Sep 2013, Anaheim, CA, United States
Accès au bibtex
BibTex
titre
A single built-in sensor to check pull-up and pull-down CMOS networks against transient faults
auteur
Rodrigo Possamai Bastos, Frank Sill Torres, Jean-Max Dutertre, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2013, Karlsruhe, Germany. pp.157-163, ⟨10.1109/PATMOS.2013.6662169⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00968621/file/abstract_2_Possamai_Bastos_2013-04-08_23_41_52_paper-radecs-20130408-rpb.pdf BibTex
titre
Laser-Induced Fault Simulation
auteur
Feng Lu, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
EUROMICRO DSD/SEAA, Sep 2013, Santander, Spain. pp.609-614, ⟨10.1109/DSD.2013.72⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01430807/file/06628333.pdf BibTex
titre
A smart test controller for scan chains in secure circuits
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Greece. pp.228-229, ⟨10.1109/IOLTS.2013.6604085⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01430814/file/06604085.pdf BibTex
titre
A 3D IC BIST for pre-bond test of TSVs using Ring Oscillators
auteur
Yassine Fkih, Pascal Vivet, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. pp.001-004
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00838524/file/A_3D_IC_BIST_for_pre-bond_test_of_TSVs_using_Ring_Oscillators_FINAL.pdf BibTex
titre
A Bulk Built-in Sensor for Detection of Fault Attacks
auteur
Rodrigo Possamai Bastos, Frank Sill Torres, Jean Max Dutertre, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
HOST: Hardware-Oriented Security and Trust, Jun 2013, Austin, TX, United States. pp.51-54, ⟨10.1109/HST.2013.6581565⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-01430800/file/06581565.pdf BibTex
titre
Identification of Hardware Trojans triggering signals
auteur
Sophie Dupuis, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2013, Avignon, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00991360/file/23_G._DiNatale_TRUDEVICE_2013.pdf BibTex

2012

Article dans une revue

titre
Scan attacks on side-channel and fault attack resistant public-key implementations
auteur
Jean da Rolt, Amitabh Das, Santos Ghosh, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede
article
Journal of Cryptographic Engineering, 2012, 2 (4), pp.207-219. ⟨10.1007/s13389-012-0045-z⟩
Accès au bibtex
BibTex
titre
Novel Transient-Fault Detection Circuit Featuring Enhanced Bulk Built-in Current Sensor with Low-Power Sleep Mode
auteur
Rodrigo Possamai Bastos, Frank Sill Torres, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Microelectronics Reliability, 2012, 52 (9-10), pp.1781-1786. ⟨10.1016/j.microrel.2012.06.149⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00715117/file/PaperESREF-20120629-RPB.pdf BibTex

Communication dans un congrès

titre
On-Chip Comparison for Testing Secure ICs
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.112-117
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00795205/file/DCIS_2012_DaRolt_HAL.pdf BibTex
titre
Is Side-Channel Analysis really reliable for detecting Hardware Trojans?
auteur
Giorgio Di Natale, Sophie Dupuis, Bruno Rouzeyre
article
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.238-242
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00823477/file/IsSideChannelAnalysisReallyReliableForDetectingHT.pdf BibTex
titre
A Scan-based Attack on Elliptic Curve Cryptosystems in presence of Industrial Design-for-Testability Structures
auteur
Jean da Rolt, Bruno Rouzeyre, Marie-Lise Flottes, Giorgio Di Natale, Amitabh Das, Ingrid Verbauwhede
article
IEEE International Symposium on Defect and Fault Tolerance in VLSI & Nanotechnology Systems, United States. http://www.dfts.org/
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00744472/file/DFT_v8_n.pdf BibTex
titre
Novel transient-fault detection circuit featuring enhanced bulk built-in current sensor with low-power sleep-mode
auteur
Rodrigo Possamai Bastos, Frank Sill Torres, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Oct 2012, Cagliari, Italy
Accès au bibtex
BibTex
titre
A New Scan Attack on RSA in Presence of Industrial Countermeasures
auteur
Jean da Rolt, Amitabh Das, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Ingrid Verbauwhede
article
COSADE: Constructive Side-Channel Analysis and Secure Design, May 2012, Darmstadt, Germany. pp.89-104, ⟨10.1007/978-3-642-29912-4_8⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00719986/file/A_New_Scan_Attack_on_RSA_in_Presence_of_Industrial.pdf BibTex
titre
Are Advanced DfT Structures Sufficient for Preventing Scan-Attacks?
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
VTS'12: 30th IEEE VLSI Test Symposium, Apr 2012, Maui, Hawai, United States. pp.246-251
Accès au bibtex
BibTex
titre
Calibrating Bulk Built-in Current Sensors for Detecting Transient Faults
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Colloque GDR SoC-SiP, 2012, Lyon, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00715126/file/paperGDRSOCSIP-20120525-RPB.pdf BibTex

Chapitre d'ouvrage

titre
Chapitre 6 : On Countermeasures Against Fault Attacks on the Advanced Encryption Standard
auteur
Kaouthar Bousselam, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Marc Joye and Michael Tunstall. Fault Analysis in Cryptography, Springer, pp.89-109, 2012, Information Security and Cryptography, 978-3-642-29656-0 (-7 for eBook)
Accès au bibtex
BibTex

Poster de conférence

titre
tLIFTING : A Multi-level Delay-annotated Fault Simulator for Digital Circuits
auteur
Giorgio Di Natale, Marie-Lise Flottes, Feng Lu, Bruno Rouzeyre
article
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. , 2012
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00799892/file/Poster_lu_13.pdf BibTex

2011

Communication dans un congrès

titre
A New Bulk Built-in Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DFT'2011: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2011, Vancouver, Canada. pp.302-308, ⟨10.1109/DFT.2011.15⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00701789/file/PaperDTF-LDTinCED-Bastos-20110804a.pdf BibTex
titre
How to Sample Results of Concurrent Error Detection Schemes in Transient Fault Scenarios?
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
RADECS: Radiation and Its Effects on Components and Systems, Sep 2011, Sevilla, Spain. pp.635-642, ⟨10.1109/RADECS.2011.6131361⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00701776/file/PaperRADECS-ErrorSignalCED-Bastos-20110924.pdf BibTex
titre
Timing Issues of Transient Faults in Concurrent Error Detection Schemes
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
GdR SoC-SiP'2011: Colloque national du Groupement de Recherche System-On-Chip et System-In-Package, Jun 2011, Lyon, France. http://www2.lirmm.fr/~w3mic/SOCSIP/
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00701798/file/paperGDRSOCSIP-CEDtechniqueProblem-Bastos-20110503.pdf BibTex
titre
New side-channel attack against scan chains
auteur
Jean da Rolt, Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre
article
9th CryptArchi Workshop (2011), Jun 2011, Bochum, Germany. pp.2
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00648575/file/DAROLT_Cryptarchi2011.pdf BibTex
titre
New Security Threats Against Chips Containing Scan Chain Structures
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
HOST'11: IEEE International Symposium on Hardware-Oriented Security and Trust, San Diego, CA, United States. pp.105-110
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00599690/file/P36.pdf BibTex
titre
Scan Attacks and Countermeasures in Presence of Scan Response Compactors
auteur
Jean da Rolt, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2011, Trondheim, Norway. pp.19-24, ⟨10.1109/ETS.2011.30⟩
Accès au bibtex
BibTex
titre
Power Consumption Traces Realignment to Improve Differential Power Analysis
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Miroslav Valka, Denis Real
article
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Germany. pp.201-206
Accès au bibtex
BibTex
titre
Timing Issues for an Efficient Use of Concurrent Error Detection Codes
auteur
Rodrigo Possamai Bastos, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW: Latin American Test Workshop, Mar 2011, Porto de Galinhas, Brazil. pp.1-6, ⟨10.1109/LATW.2011.5985933⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00627427/file/paperLATW-CEDtechniqueProblem-bastos-20110125bwa.pdf BibTex

Autre publication scientifique

titre
Rapport Technique intermédiaire, Contrat TOETS CT 302, Programme CEE CATRENE
auteur
Patrick Girard, Serge Bernard, Florence Azaïs, Alberto Bosio, Luigi Dilillo, Giorgio Di Natale, Marie-Lise Flottes, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2011
Accès au bibtex
BibTex
titre
Rapport Technique de fin d'année, Contrat TOETS CT 302, Programme CEE CATRENE
auteur
Patrick Girard, Serge Bernard, Florence Azaïs, Alberto Bosio, Luigi Dilillo, Giorgio Di Natale, Marie-Lise Flottes, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2011
Accès au bibtex
BibTex

2010

Communication dans un congrès

titre
When Failure Analysis Meets Side-Channel Attacks
auteur
Jérôme Di Battista, Jc Courrège, Bruno Rouzeyre, Lionel Torres, Philippe Perdu
article
CHES'10: Cryptographic Hardware and Embedded System, Aug 2010, Santa Barbara, United States. pp.188-202, ⟨10.1007/978-3-642-15031-9⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00532636/file/62250188_editing_version.pdf BibTex
titre
Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard
auteur
Kaouthar Bousselam, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2010, Corfu, Greece. pp.223-228, ⟨10.1109/IOLTS.2010.5560196⟩
Accès au bibtex
BibTex
titre
Waveforms re-Alignment to Improve DPA Attacks
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Miroslav Valka
article
CryptArchi: Cryptographic Architectures, Jun 2010, Gif-sur-Yvette, France
Accès au bibtex
BibTex
titre
Side-Channel Improvment by Laser Stimulation
auteur
Jérôme Di Battista, Philippe Perdu, Jc Courrège, Bruno Rouzeyre, Lionel Torres
article
CryptArchi: Cryptographic Architectures, Jun 2010, Gif-sur-Yvette, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00575124/file/dibattista.pdf BibTex
titre
Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard
auteur
Kaouthar Bousselam, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2010, Prague, Czech Republic
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00493247/file/ets10_16_12.pdf BibTex
titre
Ensuring High Testability without Degrading Security
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DDECS'10: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Vienna, Austria. pp.6
Accès au bibtex
BibTex
titre
Evaluation of Resistance to Differential Power Analysis: Execution Time Optimizations for Designers
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DELTA'10: Fifth IEEE International Symposium on Electronic Design, Test and Application, Jan 2010, Ho Chi Minh City, Vietnam. pp.256-261
Accès au bibtex
BibTex

Chapitre d'ouvrage

titre
Chapter 9: Fault Detection in Crypto-devices
auteur
Kaouthar Bousselam, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
Wei Zhang. Fault Detection, InTech, pp.177-194, 2010, 978-953-307-037-7. ⟨10.5772/213⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00437252/file/InTech-Fault_detection_in_crypto_devices.pdf BibTex

Autre publication scientifique

titre
Contrat TOETS CT 302 - Programme CEE CATRENE (Rapport Intermédiaire)
auteur
Patrick Girard, Serge Bernard, Florence Azaïs, Alberto Bosio, Luigi Dilillo, Giorgio Di Natale, Marie-Lise Flottes, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2010
Accès au bibtex
BibTex
titre
TOETS CT302 - Programme CEE CATRENE - Summary Technical Report 2S-2009 - Rapport Technique de Fin d'année
auteur
Patrick Girard, Florence Azaïs, Serge Bernard, Alberto Bosio, Luigi Dilillo, Giorgio Di Natale, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2010
Accès au bibtex
BibTex

2009

Article dans une revue

titre
A Reliable Architecture for Parallel Implementations of the Advanced Encryption Standard
auteur
Giorgio Di Natale, Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
Journal of Electronic Testing: : Theory and Applications, 2009, 25 (4-5), pp.269-278. ⟨10.1007/s10836-009-5106-6⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00423026/file/JETTA_Camera_Ready.pdf BibTex
titre
Self-Test Techniques for Crypto-Devices
auteur
Giorgio Di Natale, Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 18 (2), pp.329-333. ⟨10.1109/TVLSI.2008.2010045⟩
Accès au bibtex
BibTex

Communication dans un congrès

titre
Validation of Differential Light Emission Analysis on FPGA
auteur
Jérôme Di Battista, Philippe Perdu, Jc Courrège, Bruno Rouzeyre, Lionel Torres
article
SCS'09: International Conference on Signals, Circuits, Systems, Nov 2009, Djerba, Tunisia
Accès au bibtex
BibTex
titre
Ensuring High Testability without Degrading Security
auteur
Marie-Lise Flottes, Giorgio Di Natale, Paolo Maistri, Bruno Rouzeyre, Régis Leveugle
article
ETS: European Test Symposium, May 2009, Seville, Spain
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00407163/file/05491831.pdf BibTex
titre
Execution Time Reduction of Differential Power Analysis Experiments
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW'09: 10th Latin-American Test Workshop, Mar 2009, Armaçao dos Buzios, Brazil, pp.1-5, ⟨10.1109/LATW.2009.4813819⟩
Accès au bibtex
BibTex
titre
Tutorial on Design For Testability & Digital Security
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IEEE 10th Latin American Test Workshop, 2009, Buzios, Brazil
Accès au bibtex
BibTex

Chapitre d'ouvrage

titre
Compression-Based SoC Test Infrastructures
auteur
Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
VLSI-SoC: Advanced Topics on Systems on a Chip, 291, Springer, pp.53-68, 2009, IFIP Advances in Information and Communication Technology, 978-0-387-89557-4
Accès au bibtex
BibTex

Autre publication scientifique

titre
Rapport Technique de fin de Contrat NanoTEST 2A702, Programme CEE MEDEA+
auteur
Patrick Girard, Serge Bernard, Alberto Bosio, Luigi Dilillo, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2009
Accès au bibtex
BibTex

2008

Communication dans un congrès

titre
A Reliable Architecture for Substitution Boxes in Integrated Cryptographic
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DCIS'08: Conference on Design of Circuits and Integrated Systems, Nov 2008, pp.27-32
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00363783/file/5D_2.pdf BibTex
titre
An Integrated Validation Environment for Differential Power Analysis
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
SAME'08: Sophia-Antipolis Forum on MicroElectronics 2008, Sep 2008, Sophia-Antipolis, France
Accès au bibtex
BibTex
titre
Low Cost Self-Test of Crypto-Devices
auteur
Giorgio Di Natale, Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
WDSN'08: 2nd Workshop on Dependable and Secure Nanocomputing, Jun 2008, Anchorage, Canada, United States. pp.41-46
Accès au bibtex
BibTex
titre
Stuck-at-Faults Test using Differential Power Analysis
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
LPonTR'08: Workshop on Low Power Design Impact on Test and Reliability, May 2008, Italy
Accès au bibtex
BibTex
titre
A Reliable Architecture for the Advanced Encryption Standard
auteur
Giorgio Di Natale, Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
13th IEEE European Test Symposium, May 2008, Verbania, Italy. pp.13-18, ⟨10.1109/ETS.2008.26⟩
Accès au bibtex
BibTex
titre
L'auto-test d'un coeur de chiffrement AES
auteur
Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
JNRDM'08 : Journées Nationales du Réseau Doctoral en Microélectronique, May 2008, France. pp.4
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00325878/file/jnrdm08_doulcier.pdf BibTex
titre
Improving the Test of NoC-Based SoCs with Help of Compression Schemes
auteur
Erika Cota, Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
ISVLSI: IEEE Symposium on Very Large Scale Integration, Apr 2008, Montpellier, France. pp.139-144, ⟨10.1109/ISVLSI.2008.86⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00271574/file/3170a139.pdf BibTex
titre
Low Problem in Sequential Equivalence Checking
auteur
Solaiman Rahim, Jerome Rampon, Bruno Rouzeyre, Lionel Torres
article
SAME'02: Sophia-Antipolis Forum on MicroElectronics, Sophia-Antipolis (France), France. pp. 52-57
Accès au bibtex
BibTex
titre
Observability of Stuck-at-Faults with Differential Power Analysis
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW'08: IEEE Latin American Test Workshop, Feb 2008, Mexico. pp.N/A
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00295498/file/LATW_Camera_Ready.pdf BibTex
titre
AES-based BIST: Self-test, Test Pattern Generation and Signature Analysis
auteur
Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
4th IEEE International Symposium on Electronic Design, Test and Applications (DELTA), Jan 2008, Hong-Kong, China. pp.314-321, ⟨10.1109/DELTA.2008.86⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00258769/file/3110a314.pdf BibTex
titre
An Integrated Validation Environment for Differential Power Analysis
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DELTA: Electronic Design, Test and Applications, Jan 2008, Hong Kong, China. pp.527-532, ⟨10.1109/DELTA.2008.61⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00407165/file/S25.pdf BibTex

Autre publication scientifique

titre
Test and Harware Security
auteur
Marion Doulcier, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
2008
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00365276/file/presentation_pastis2008_rouzeyre.pdf BibTex

Rapport

titre
Differential Power Analysis against the Miller Algorithm
auteur
Nadia El Mrabet, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre, Jean-Claude Bajard
article
RR-08021, 2008
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00323684/file/Version_23_07_08.pdf BibTex
titre
Contrat Nano TEST 2A702, Programme CEE MEDEA (Rapport Technique de fin d'année)
auteur
Patrick Girard, Serge Bernard, Alberto Bosio, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
08026, 2008
Accès au bibtex
BibTex
titre
Contrat Nano TEST 2A702, Programme CEE MEDEA (Rapport Intermédiaire)
auteur
Patrick Girard, Serge Bernard, Alberto Bosio, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
08027, 2008
Accès au bibtex
BibTex

2007

Article dans une revue

titre
Securing Scan Control in Crypto Chips
auteur
David Hely, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
Journal of Electronic Testing: : Theory and Applications, 2007, 23 (5), pp.457-464. ⟨10.1007/s10836-007-5000-z⟩
Accès au bibtex
BibTex

Communication dans un congrès

titre
Test Data Compression and TAM Design
auteur
Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
VLSI-SoC 2007 - IFIP International Conference on Very Large Scale Integration, Oct 2007, Atlanta, GA, United States. pp.178-183, ⟨10.1109/VLSISOC.2007.4402494⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00186171/file/dalmasso_vlsisoc07.pdf BibTex
titre
An On-Line Fault Detection Scheme for SBoxes in Secure Circuits
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS 2007 - 13th IEEE International On-Line Testing and Robust System Design Symposium, Jul 2007, Heraklion, Crete, Greece. pp.57-62, ⟨10.1109/IOLTS.2007.16⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00163244/file/natale2007.pdf BibTex
titre
On-Line Self-Test of AES Hardware Implementations
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
DSN'07: Workshop on Dependable and Secure Nanocomputing, Jun 2007, Edinburgh, United Kingdom
Accès au bibtex
BibTex
titre
Test and Security
auteur
Marie-Lise Flottes, Giorgio Di Natale, Bruno Rouzeyre, Marion Doulcier
article
CryptArchi: Cryptographic Architectures, Jun 2007, Montpellier, France
Accès au bibtex
BibTex
titre
Utilisation de ressources cryptographiques pour le test des circuits sécurisés
auteur
Marie-Lise Flottes, Marion Doulcier, Bruno Rouzeyre
article
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00203332/file/AESasTR.pdf https://hal-lirmm.ccsd.cnrs.fr/lirmm-00203332/file/poster_GDR_SOC-SIP_2007.pdf BibTex
titre
A Novel Parity Bit Scheme for SBOX in AES Circuits
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
IEEE Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2007, Cracovie, Poland. pp.267-271, ⟨10.1109/DDECS.2007.4295295⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00141799/file/ddecs07-89.pdf BibTex
titre
AES vs LFSR Based Test Pattern Generation: A Comparative Study
auteur
Marion Doulcier, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW: Latin American Test Workshop, Mar 2007, Cuzco, Peru. pp.314-321
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00138831/file/5aes_vs.pdf BibTex

Autre publication scientifique

titre
Contrat NanoTEST 2A702 - Programme CEE MEDEA - Rapport Technique intermédiaire
auteur
Patrick Girard, Serge Bernard, Alberto Bosio, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2007
Accès au bibtex
BibTex
titre
Contrat NanoTEST 2A702 - Programme CEE MEDEA - Rapport Technique de fin d'année
auteur
Patrick Girard, Serge Bernard, Alberto Bosio, Marie-Lise Flottes, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2007
Accès au bibtex
BibTex

Poster de conférence

titre
A Dependable Parallel Architecture for SBoxes
auteur
Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre
article
ReCoSoc'07: International Workshop on Reconfigurable Communication Centric System-On-Chips, Jun 2007, Montpellier, France. pp.CD-ROM, 2007
Accès au bibtex
BibTex
titre
TAM Design and Test Data Compression for SoC Test Cost Reduction
auteur
Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2007, Freiburg, Germany. 12th IEEE European Test Symposium, pp.241-246, 2007
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00159044/file/Poster_ETS07_v1.3.pdf BibTex
titre
Improving NoC-based Testing Through Compression Schemes
auteur
Erika Cota, Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
DATE: Design, Automation and Test in Europe, Apr 2007, Nice, France. , 2007
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00170833/file/DATE07WS_NoC_Compress.pdf BibTex

2006

Communication dans un congrès

titre
Fitting ATE Channels with Scan Chains: A Comparison Between a Test Data Compression Technique and Serial Loading of Scan Chains
auteur
Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
DELTA'06: Third IEEE International Workshop on Electronics DesignTest & Applications, Kuala Lumpur (Malaysia), pp.295-300
Accès au bibtex
BibTex
titre
Secure Scan Techniques: a Comparison
auteur
David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
IOLTS: International On-Line Testing Symposium, Jul 2006, Como, Italy. pp.119-124, ⟨10.1109/IOLTS.2006.55⟩
Accès au bibtex
BibTex
titre
Compression de Données de Test : Réduction du Nombre de Broches et Gain en Temps de Test
auteur
Julien Dalmasso, Marie-Lise Flottes, Bruno Rouzeyre
article
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00102830/file/D666.PDF BibTex
titre
Scan Pattern Watermarking
auteur
David Hely, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW'06: 7th IEEE Latin American Test Workshop, Mar 2006, Buenos Aires, pp.63-67
Accès au bibtex
BibTex
titre
A Secure Scan Design Methodology
auteur
David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
LATW'06: 7th IEEE Latin American Test Workshop, Mar 2006, Buenos Aires, Argentina. pp.81-86
Accès au bibtex
BibTex
titre
A secure Scan Design Methodology
auteur
David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
DATE: Design, Automation and Test in Europe, Mar 2006, Munich, Germany. pp.1177-1178, ⟨10.1109/DATE.2006.244019⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00132516/file/01657071.pdf BibTex

Autre publication scientifique

titre
Contrat NanoTEST 2A702, Programme CEE MEDEA+
auteur
Patrick Girard, Serge Bernard, Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2006
Accès au bibtex
BibTex
titre
Contrat NanoTEST 2A702 - Programme CEE MEDEA+
auteur
Patrick Girard, Serge Bernard, Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2006
Accès au bibtex
BibTex
titre
Contrat NanoTEST 2A702, Programme CEE MEDEA +
auteur
Patrick Girard, Serge Bernard, Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre, Arnaud Virazel
article
2006
Accès au bibtex
BibTex

2005

Communication dans un congrès

titre
Scan Design and Secure Chips : Can They Work Together
auteur
David Hely, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
SAME'05: Sophia-Antipolis Forum on MicroElectronics, Oct 2005, Sophia-Antipolis, France
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00106546/file/D589.PDF BibTex
titre
Test Control for Secure Scan Designs
auteur
David Hely, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2005, Tallinn, Estonia. pp.190-195
Accès au bibtex
BibTex
titre
Mutation sampling technique for the generation of structural test data
auteur
Mathieu Scholivé, Vincent Beroulle, Chantal Robach, Marie-Lise Flottes, Bruno Rouzeyre
article
6th IEEE Latin American Test Workshop, Mar 2005, Salvador, Bahia, Brazil
Accès au bibtex
BibTex
titre
Mutation Sampling Technique for the Generation of Structural Test Data
auteur
Mathieu Scholivé, Vincent Beroulle, Chantal Robach, Marie-Lise Flottes, Bruno Rouzeyre
article
DATE 2005 - 8th Design, Automation and Test in Europe Conference and Exhibition, Mar 2005, Munich, Germany. pp.1022-1023, ⟨10.1109/DATE.2005.220⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00105978/file/08c_7.pdf BibTex
titre
Mutation Sampling Technique for the Generation of Structural Test Data
auteur
Mathieu Scholivé, Vincent Beroulle, Chantal Robach, Marie-Lise Flottes, Bruno Rouzeyre
article
DATE 2005 - 8th Design, Automation and Test in Europe Conference and Exhibition, Mar 2005, Munich, Germany. pp.1022-1023, ⟨10.1109/DATE.2005.220⟩
Accès au texte intégral et bibtex
https://hal.science/hal-00181680/file/228821022.pdf BibTex

2004

Article dans une revue

titre
A Flip-Flop Matching Engine to Verify Sequential Optimizations
auteur
Solaiman Rahim, Bruno Rouzeyre, Lionel Torres
article
Computing and Informatics, 2004, 24 (5-6), pp.437-460
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00108550/file/D513.PDF BibTex

Communication dans un congrès

titre
Scan design and secure chip [secure IC testing]
auteur
David Hely, Marie-Lise Flottes, Frédéric Bancel, Bruno Rouzeyre, Nicolas Berard, Michel Renovell
article
IOLTS: International On-Line Testing Symposium, Jul 2004, Madeira Island, Portugal. pp.219-224, ⟨10.1109/OLT.2004.1319691⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00108909/file/Scan_Design_and_Secure_Chip.pdf BibTex
titre
User-Constrained Test Architecture Design for Modular SOC Testing
auteur
L. Krundel, S. Kumar Goel, E.J. Marinissen, Marie-Lise Flottes, Bruno Rouzeyre
article
ETS: European Test Symposium, May 2004, Ajaccio, Corsica, France. pp.80-85, ⟨10.1109/ETSYM.2004.1347611⟩
Accès au bibtex
BibTex
titre
An Efficient Flip-Flops Matching Engine
auteur
Solaiman Rahim, Jerome Rampon, Bruno Rouzeyre, Lionel Torres
article
DDECS'04: 7th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and System, Apr 2004, Tatranska Lomnica, Slovakia. pp.105-113
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00108773/file/D229.PDF BibTex
titre
An Arithmetic Structure for Test Data Horizontal Compression
auteur
Marie-Lise Flottes, Régis Poirier, Bruno Rouzeyre
article
DATE 2004 - 7th Design, Automation and Test in Europe Conference and Exhibition, Feb 2004, Paris, France. pp.428-434, ⟨10.1109/DATE.2004.1268884⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00108837/file/01268884.pdf BibTex
titre
On Using Test Vector Differences for Reducing Test Pin Numbers
auteur
Marie-Lise Flottes, Régis Poirier, Bruno Rouzeyre
article
DELTA'04: 2nd International Workshop on Electronic DesignTest and Applications, Jan 2004, Perth (Australia), pp.275-280
Accès au bibtex
BibTex

Autre publication scientifique

titre
Test Circuits Sécurisés 2
auteur
Bruno Rouzeyre, Marie-Lise Flottes
article
2004, 2 p
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00109182/file/D419.PDF BibTex
titre
Advanced Solutions for Innovative SOC Testing in Europe
auteur
Patrick Girard, Michel Renovell, Serge Bernard, Marie-Lise Flottes, Serge Pravossoudovitch, Bruno Rouzeyre
article
2004
Accès au bibtex
BibTex

Ouvrages

titre
Test de Circuits et de Systèmes Intégrés
auteur
Florence Azaïs, Serge Bernard, Yves Bertrand, Marie-Lise Flottes, Patrick Girard, Christian Landrault, Laurent Latorre, Serge Pravossoudovitch, Michel Renovell, Bruno Rouzeyre
article
Collection EGEM, Ed.Hermès, 2004, 2-7462-0864-4
Accès au bibtex
BibTex

2003

Communication dans un congrès

titre
Matching in the presence of don't cares and redundant sequential elements for sequential equivalence checking
auteur
Solaiman Rahim, Bruno Rouzeyre, Lionel Torres, Jerome Rampon
article
HLDVT 2003 - 8th IEEE International High-Level Design Validation and Test Workshop, Nov 2003, San Francisco, United States. pp.129-135, ⟨10.1109/HLDVT.2003.1252486⟩
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00269707/file/D162.PDF.pdf BibTex
titre
Software-Based Testing of Sequential VHDL Descriptions
auteur
Mathieu Scholivé, Vincent Beroulle, Chantal Robach, Marie-Lise Flottes, Bruno Rouzeyre
article
8th IEEE European Test Workshop (ETW), May 2003, Maastricht, Netherlands. pp.199-200
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00269437/file/D142.PDF BibTex
titre
An Efficient Approach to SoC Wrapper Design, TAM Configuration and Test Scheduling
auteur
Julien Pouget, Erik Larsson, Zebo Peng, Marie-Lise Flottes, Bruno Rouzeyre
article
ETW: European Test Workshop, 2003, Maastricht, Netherlands. pp.51-56
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00191948/file/D150.PDF BibTex

Autre publication scientifique

titre
Test Circuits Sécurisés 1
auteur
Bruno Rouzeyre, Marie-Lise Flottes
article
2003, pp.3
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00191973/file/D420.PDF BibTex
titre
Advanced Solutions for Innovative SOC Testing in Europe, Contrat CEE ASSOCIATE A503, Programme MEDEA+ (Rapport Technique Intermédiaire)
auteur
Patrick Girard, Michel Renovell, Florence Azaïs, Yves Bertrand, Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch, Bruno Rouzeyre
article
2003, pp.P nd
Accès au bibtex
BibTex
titre
Test Circuits Sécurisés 1
auteur
Bruno Rouzeyre, Marie-Lise Flottes
article
2003, 3 p
Accès au texte intégral et bibtex
https://hal-lirmm.ccsd.cnrs.fr/lirmm-00269490/file/D420.PDF BibTex
titre
Advanced Solutions for Innovative SOC Testing in Europe, Contrat CEE ASSOCIATE A503, Programme MEDEA+ (Rapport Technique de Fin d'Année)
auteur
Patrick Girard, Michel Renovell, Florence Azaïs, Serge Bernard, Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch, Bruno Rouzeyre
article
2003, pp.P nd
Accès au bibtex
BibTex
titre
Test Circuits Sécurisés 1
auteur
Bruno Rouzeyre, Marie-Lise Flottes
article
2003, 3 p
Accès au bibtex
BibTex