S. Senni, L. Torres, G. Sassatelli, A. Gamatié, and B. Mussard, Exploring MRAM Technologies for Energy Efficient Systems-On-Chip, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.6, issue.3, pp.1-14, 2016.
DOI : 10.1109/JETCAS.2016.2547680

URL : https://hal.archives-ouvertes.fr/lirmm-01419429

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.31, issue.7, pp.994-1007, 2012.

S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen et al., McPAT, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.469-480, 2009.
DOI : 10.1145/1669112.1669172

A. V. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. S. Beach et al., Basic principles of STT-MRAM cell operation in memory arrays, Journal of Physics D: Applied Physics, vol.46, issue.7, 2013.

D. F. Bacon, S. L. Graham, and O. J. Sharp, Compiler transformations for high-performance computing, ACM Computing Surveys, vol.26, issue.4, pp.345-420, 1994.
DOI : 10.1145/197405.197406

URL : http://www.ece.ucsb.edu/~kastner/ece253/reader/bacon94.pdf

Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He, MAC, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pp.351-356, 2012.
DOI : 10.1145/2333660.2333738

URL : https://hal.archives-ouvertes.fr/hal-00529679

Q. Li, M. Zhao, C. J. Xue, and Y. He, Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache, Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, LCTES '12, pp.109-118, 2012.
DOI : 10.1145/2248418.2248434

URL : http://www.lirmm.fr/~ducour/Doc-objets/ECOOP2012/LCTES/files/papers2012/4-3.pdf

M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge et al., MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001.
DOI : 10.1109/WWC.2001.990739

]. Y. Li, Y. Chen, and A. K. Jones, A software approach for combating asymmetries of non-volatile memories, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pp.191-196, 2012.
DOI : 10.1145/2333660.2333708

Y. Li, A. Abousamra, R. Melhem, and A. K. Jones, Compiler-assisted data distribution for chip multiprocessors, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.501-512, 2010.
DOI : 10.1145/1854273.1854335

S. Inc, HSPICE manuals, 2003.

P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg et al., Simics: A full system simulation platform, Computer, vol.35, issue.2, pp.50-58, 2002.
DOI : 10.1109/2.982916

A. W. Appel, Modern Compiler Implementation in C, 1998.
DOI : 10.1017/CBO9781139174930

Y. Huang, T. Liu, and C. J. Xue, Register allocation for write activity minimization on non-volatile main memory for embedded systems, Journal of Systems Architecture, vol.58, issue.1, pp.13-23, 2012.
DOI : 10.1016/j.sysarc.2011.09.001

Q. Li, Y. He, J. Li, L. Shi, Y. Chen et al., Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache, IEEE Transactions on Computers, vol.64, issue.8, pp.2169-2181, 2015.
DOI : 10.1109/TC.2014.2360527

S. V. Gheorghita, H. Corporaal, and T. Basten, Iterative compilation for energy reduction, J. Embedded Computing, vol.1, issue.4, pp.509-520, 2005.

F. Bodin, T. Kisuki, P. M. Knijnenburg, M. F. O-'boyle, and E. Rohou, Iterative compilation in a non-linear optimisation space, Workshop on Profile and Feedback-Directed Compilation (FDO-1), in conjunction with PACT, 1998.
URL : https://hal.archives-ouvertes.fr/inria-00475919

P. Marchal, J. I. Gómez, and F. Catthoor, Optimizing the memory bandwidth with loop fusion, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.188-193, 2004.
DOI : 10.1145/1016720.1016767

URL : http://www.cs.york.ac.uk/rts/docs/SIGDA-Compendium-1994-2004/papers/2004/codes04/pdffiles/p188.pdf

M. T. Kandemir, I. Kolcu, and I. Kadayif, Influence of Loop Optimizations on Energy Consumption of Multi-bank Memory Systems, Proceedings of the 11th International Conference on Compiler Construction, pp.276-292, 2002.
DOI : 10.1007/3-540-45937-5_20

M. Kandemir, N. Vijaykrishnan, M. J. Irwin, and W. Ye, Influence of compiler optimizations on system power, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.9, issue.6, pp.801-804, 2001.
DOI : 10.1109/92.974893

T. Kisuki, P. M. Knijnenburg, and M. F. O-'boyle, Combined selection of tile sizes and unroll factors using iterative compilation, Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622), pp.237-248, 2000.
DOI : 10.1109/PACT.2000.888348

. Hardkernel, Odroid XU4

. Samsung, Exynos octa 5422, 2015.

C. W. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. R. Stan, Relaxing non-volatility for fast and energy-efficient STT-RAM caches, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp.50-61, 2011.
DOI : 10.1109/HPCA.2011.5749716

URL : http://www.cs.virginia.edu/~vm9u/files/RelaxingNV.pdf

A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan et al., Cache revive, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.243-252, 2012.
DOI : 10.1145/2228360.2228406

C. Layer, L. Becker, K. Jabeur, S. Claireux, B. Dieny et al., Reducing System Power Consumption Using Check-Pointing on Nonvolatile Embedded Magnetic Random Access Memories, ACM Journal on Emerging Technologies in Computing Systems, vol.12, issue.4, p.32, 2016.
DOI : 10.1109/TIT.1977.1055714

G. Prenat, K. Jabeur, P. Vanhauwaert, G. D. Pendina, F. Oboril et al., Ultra-Fast and High-Reliability SOT-MRAM: From Cache Replacement to Normally-Off Computing, IEEE Transactions on Multi-Scale Computing Systems, vol.2, issue.1, pp.49-60, 2016.
DOI : 10.1109/TMSCS.2015.2509963

T. Lanier, Exploring the design of the Cortex-A15 processor URL: http://www. arm. com/files/pdf/atexploring the design of the cortex-a15. pdf, p.5, 2011.