M. L. Bushnell and V. D. , Essentials of Electronic Testing, 2000.

C. Shi, How Power Aware Test Improves Reliability and Yield, IEEDesign.com, 2004.

J. Saxena, A case study of ir-drop in structured at-speed testing, International Test Conference, 2003. Proceedings. ITC 2003., pp.1098-1104, 2003.
DOI : 10.1109/TEST.2003.1271098

K. M. Butler, Minimizing power consumption in scan testing: pattern generation and DFT techniques, 2004 International Conferce on Test, pp.355-364, 2004.
DOI : 10.1109/TEST.2004.1386971

P. Girard, Survey of low-power testing of VLSI circuits, IEEE Design & Test of Computers, vol.19, issue.3, pp.82-92, 2002.
DOI : 10.1109/MDT.2002.1003802

URL : https://hal.archives-ouvertes.fr/lirmm-00268584

J. Saxena, An analysis of power reduction techniques in scan testing, Proceedings International Test Conference 2001 (Cat. No.01CH37260), pp.670-677, 2001.
DOI : 10.1109/TEST.2001.966687

Y. Bonhomme, A Gated Clock Scheme for Low Power Scan Testing of Logic IC's or Embedded Cores, IEEE Asian Test Symposium, pp.253-258, 2001.

P. Rosinger, B. Hashimi, and N. Nicolici, Scan Architecture With Mutually Exclusive Scan Segment Activation for Shift- and Capture-Power Reduction, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.7, pp.1142-1153, 2004.
DOI : 10.1109/TCAD.2004.829797

URL : http://eprints.soton.ac.uk/258752/1/TCAD2004.pdf

R. Sankaralingam, Controlling peak power during scan testing, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), pp.153-159, 2002.
DOI : 10.1109/VTS.2002.1011127

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.6.7869

X. Wen, On Low-Capture-Power Test Generation for Scan Testing, IEEE VLSI Test Symposium, pp.265-270, 2005.

N. Badereddine, Peak Power Consumption during Scan Testing: Issue, Analysis and Heuristic Solution, IEEE DDECS Workshop, pp.151-159, 2005.
DOI : 10.1109/dtis.2006.1708693

URL : https://hal.archives-ouvertes.fr/lirmm-00105990

N. Badereddine, Power-Aware Scan Testing for Peak Power Reduction, IFIP VLSI-SOC Conf, pp.441-446, 2005.
DOI : 10.1109/vlsisoc.2006.313222

URL : https://hal.archives-ouvertes.fr/lirmm-00106112

X. Wen, Low-Capture-Power Test Generation for Scan-Based At-Speed Testing, IEEE Int'l Test Conf, 2005.

. Powermill®, Version 5, 2000.

T. Hiraide, BIST-aided scan test - a new method for test cost reduction, Proceedings. 21st VLSI Test Symposium, 2003., pp.359-364, 2003.
DOI : 10.1109/VTEST.2003.1197675