S. Mitra, E. Volkerink, E. Mccluskey, and S. Eichenberger, Delay defect screening using process monitor structures, 22nd IEEE VLSI Test Symposium, 2004. Proceedings., pp.43-52, 2004.
DOI : 10.1109/VTEST.2004.1299224

X. Lin, K. Tsai, C. Wang, M. Kassab, J. Rajaski et al., Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects, 2006 15th Asian Test Symposium, pp.139-146, 2006.
DOI : 10.1109/ATS.2006.261012

L. Wang, C. Wu, X. W. Sato, S. Hamada, T. Maeda et al., Invisible Delay Quality -SDQM Model Lights Up What Could Not Be Seen, VLSI Test Principles and Architectures: Design for Testability Proc. Int'l Test Conf., Paper 47.1, 2005.

N. Ahmed, M. Tehranipoor, and V. Jayaram, A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-Drop Effects, Proc. Int'l Conf. on Computer-Aided Design, pp.439-444, 2005.

B. Kruseman, A. Majhi, G. Gronthoud, and S. Eichenberger, On hazard-free patterns for fine-delay fault testing, 2004 International Conferce on Test, 2004.
DOI : 10.1109/TEST.2004.1386955

Y. Shao, I. Pomeranz, S. Reddy-yang, K. Cheng, and L. Wang, On Generating High Quality Tests for Transition Faults TranGen: A SAT-Based ATPG for Path-Oriented Transition Faults, Proc. Asian Test Symp. Proc. Asian and South Pacific Design Automation Conf, pp.1-8, 2002.

S. Kajihara, S. Morishima, A. Takuma, X. Wen, T. Maeda et al., A Framework of High-quality Transition Fault ATPG for Scan Circuits, 2006 IEEE International Test Conference, 2006.
DOI : 10.1109/TEST.2006.297683

J. Wang, D. M. Walker, A. Majhi, B. Kruseman, G. Gronthoud et al., Power Supply Noise in Delay Testing, 2006 IEEE International Test Conference, 2006.
DOI : 10.1109/TEST.2006.297642

J. Saxena, K. M. Butler, V. B. Jayaram, and S. Kundu, A case study of ir-drop in structured at-speed testing, International Test Conference, 2003. Proceedings. ITC 2003., pp.1098-1104, 2003.
DOI : 10.1109/TEST.2003.1271098

P. Girard, Survey of low-power testing of VLSI circuits, IEEE Design & Test of Computers, vol.19, issue.3, pp.82-92, 2002.
DOI : 10.1109/MDT.2002.1003802

URL : https://hal.archives-ouvertes.fr/lirmm-00268584

M. Nourani, M. Tehranipoor, and N. Ahmed, Pattern Generation and Estimation for Power Supply Noise Analysis, 23rd IEEE VLSI Test Symposium (VTS'05), pp.439-444, 2005.
DOI : 10.1109/VTS.2005.65

A. Kokrady and C. P. Ravikumar, Fast, layout-aware validation of test-vectors for nanometer-related timing failures, 17th International Conference on VLSI Design. Proceedings., pp.597-602, 2004.
DOI : 10.1109/ICVD.2004.1260984

S. Wang and W. Wei, A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture, 2007 Asia and South Pacific Design Automation Conference, pp.810-816, 2007.
DOI : 10.1109/ASPDAC.2007.358089

F. Corno, P. Prinetto, M. Redaudengo, and M. Reorda, A test pattern generation methodology for low power consumption, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231), pp.35-40, 1998.
DOI : 10.1109/VTEST.1998.670912

X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja et al., A New ATPG Method for Efficient Capture Power Reduction During Scan Testing, Proc. VLSI Test Symp, pp.58-63, 2006.

X. Wen, Y. Yamashita, S. Morishima, S. Kajiihara, L. Wang et al., On Low-Capture-Power Test Generation for Scan Testing, Proc. VLSI Test Symp, pp.265-270, 2005.

W. Li, S. M. Reddy, and I. Pomeranz, On Reducing Peak Current and Power during Test, Proc. ISVLSI, pp.156-161, 2005.

X. Wen, Y. Yamashita, S. Morishima, S. Kajihara, L. Wang et al., Low-Capture-Power Test Generation for Scan-Based At-Speed Testing, Proc. Int'l Test Conf., Paper 39, 2005.

S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz et al., Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs, 2006 IEEE International Test Conference, 2006.
DOI : 10.1109/TEST.2006.297694

X. Wen, K. Miyase, T. Suzuki, Y. Yamato, S. Kajihara et al., A Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation, 2006 International Conference on Computer Design, pp.251-258, 2006.
DOI : 10.1109/ICCD.2006.4380825

K. M. Butler, J. Saxena, T. Fryars, G. Hetherington, A. Jain et al., Minimizing power consumption in scan testing: pattern generation and DFT techniques, 2004 International Conferce on Test, pp.355-364, 2004.
DOI : 10.1109/TEST.2004.1386971

J. Wang, Z. Yue, X. Lu, W. Qiu, W. Shi et al., A vector-based approach for power supply noise analysis in test compaction, IEEE International Conference on Test, 2005., 2005.
DOI : 10.1109/TEST.2005.1584012

R. Sankaralingam and N. A. Touba, Controlling peak power during scan testing, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), pp.153-159, 2002.
DOI : 10.1109/VTS.2002.1011127

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.6.7869

A. H. El-maleh and K. , An Efficient Test Relaxation Technique for Synchronous Sequential Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.6, pp.933-940, 2004.
DOI : 10.1109/TCAD.2004.828110

K. Miyase and S. Kajihara, XID: Don't Care Identification of Test Patterns for Combinational Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.2, pp.321-326, 2004.
DOI : 10.1109/TCAD.2003.822103