]. M. Bushnell and V. D. , Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits, 2000.

]. A. Krstic and K. Cheng, Delay Fault Testing for VLSI Circuits, 1998.
DOI : 10.1007/978-1-4615-5597-1

]. J. Rajski-1998a, J. Rajski, and . Tyszer, Arithmetic Built-In Self-Test for Embedded Systems, 1998.

]. N. Weste and K. Eshraghian, Principles of CMOS VLSI Design: A Systems Perspective, 1993.

A. De-colle, S. Ramnath, M. Hirech, and S. Chebiyam, Power and Design for Test: A Design Automation Perspective, R7.1 Introduction [De Colle 2005], pp.73-84, 2005.
DOI : 10.1166/jolpe.2005.008

]. P. Girard, Low power testing of VLSI circuits: problems and solutions, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525), pp.173-179, 2000.
DOI : 10.1109/ISQED.2000.838871

]. J. Monzel, S. Chakravarty, V. D. Agrawal, R. Aitken, J. Braden et al., Power Dissipation During Testing: Should We Worry About it?, IEEE VLSI Test Symp., Panel Session, 1997.

]. B. Pouya and A. Crouch, Optimization trade-offs for vector volume and test power, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.873-881, 2000.
DOI : 10.1109/TEST.2000.894298

]. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind et al., A case study of ir-drop in structured at-speed testing, International Test Conference, 2003. Proceedings. ITC 2003., pp.1098-1104, 2003.
DOI : 10.1109/TEST.2003.1271098

]. S. Wang and S. K. Gupta, DS-LFSR: A New BIST TPG for Low Heat Dissipation, Proc. Int'l Test Conf, pp.848-857, 1997.

]. W. Athas, L. J. Svensson, J. G. Koller, N. Tzartzanis, E. Ying-chin et al., Low-power digital systems based on adiabatic-switching principles, R7.2 Energy and Power Modeling, pp.398-416, 1994.
DOI : 10.1109/92.335009

]. C. Shi and R. Kapur, How Power Aware Test Improves Reliability and Yield, IEEDesign.com, 2004.

M. A. Cirit, Estimating Dynamic Power Consumption of CMOS Circuits, Proc. Int'l Conf. on Computer-Aided Design, pp.534-537, 1987.

©. Copyright, ?. P. Girard, X. Wen, N. A. Wang, and K. Roy, Maximum Power Estimation for CMOS Circuits Using Deterministic and Statistical Approaches, Proc. VLSI Conf, pp.364-369, 1995.

]. K. Butler, J. Saxena, T. Fryars, G. Hetherington, A. Jain et al., Minimizing power consumption in scan testing: pattern generation and DFT techniques, 2004 International Conferce on Test, pp.355-364, 2004.
DOI : 10.1109/TEST.2004.1386971

S. K. Chang, M. A. Gupta, and . Breuer, Analysis of Ground Bounce in Deep Sub-Micron Circuits, Proc. VLSI Test Symp, pp.110-116, 1997.

]. A. Hertwig and H. J. Wunderlich, Low Power Serial Built-In Self-Test, Proc. European Test Workshop, pp.49-53, 1998.

]. Y. Jiang, A. Krstic, and K. Cheng, Estimation for maximum instantaneous current through supply lines for CMOS circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.8, issue.1, pp.61-73, 2000.
DOI : 10.1109/92.820762

]. B. Pouya and A. Crouch, Optimization trade-offs for vector volume and test power, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.873-881, 2000.
DOI : 10.1109/TEST.2000.894298

]. C. Shi and R. Kapur, How Power Aware Test Improves Reliability and Yield, IEEDesign.com, 2004.

]. S. Wang and S. K. Gupta, DS-LFSR: A New BIST TPG for Low Heat Dissipation, Proc. Int'l Test Conf, pp.848-857, 1997.

]. N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, A. Virazel et al., Minimizing peak power consumption during scan testing: test pattern modification with X filling heuristics, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006., pp.259-264, 2006.
DOI : 10.1109/DTIS.2006.1708693

URL : https://hal.archives-ouvertes.fr/lirmm-00093690

]. Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, A gated clock scheme for low power scan testing of logic ICs or embedded cores, Proceedings 10th Asian Test Symposium, pp.253-258, 2001.
DOI : 10.1109/ATS.2001.990291

]. Y. Bonhomme, P. Girard, C. Landrault, and S. Pravossoudovitch, Power driven chaining of flip-flops in scan architectures, Proceedings. International Test Conference, pp.796-803, 2002.
DOI : 10.1109/TEST.2002.1041833

URL : https://hal.archives-ouvertes.fr/lirmm-00268492

©. Copyright, ?. P. Girard, X. Wen, N. A. Touba-]-y, P. Bonhomme et al., Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint, Proc. Int'l Test Conf, pp.488-493, 2003.

]. K. Butler, J. Saxena, T. Fryars, G. Hetherington, A. Jain et al., Minimizing power consumption in scan testing: pattern generation and DFT techniques, 2004 International Conferce on Test, pp.355-364, 2004.
DOI : 10.1109/TEST.2004.1386971

]. V. Dabholkar, S. Chakravarty, I. Pomeranz, and S. M. Reddy, Techniques for minimizing power dissipation in scan and combinational circuits during test application, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.17, issue.12, pp.1325-1333, 1998.
DOI : 10.1109/43.736572

]. P. Girard, Survey of low-power testing of VLSI circuits, IEEE Design & Test of Computers, vol.19, issue.3, pp.82-92, 2002.
DOI : 10.1109/MDT.2002.1003802

URL : https://hal.archives-ouvertes.fr/lirmm-00268584

]. T. Hiraide, K. O. Boateng, H. Konishi, K. Itaya, M. Emori et al., BIST-aided scan test - a new method for test cost reduction, Proceedings. 21st VLSI Test Symposium, 2003., pp.359-364, 2003.
DOI : 10.1109/VTEST.2003.1197675

]. A. Hertwig and H. J. Wunderlich, Low Power Serial Built-In Self-Test, Proc. European Test Workshop, pp.49-53, 1998.

K. Huang and . Lee, An Input Control Technique for Power Reduction in Scan Circuits During Test Application, Proc. Asian Test Symp, pp.315-320, 1999.

K. Huang and . Lee, A Token Scan Architecture for Low Power Testing, Proc. Int'l Test Conf, pp.660-669, 2001.

]. Lee, T. Huang, and J. Chen, Peak-Power Reduction for Multiple- Scan Circuits during Test Application, Proc. IEEE Asian Test Symp, pp.453-458, 2000.

. Rajski, Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs, Proc. Int'l Test Conf., Paper 32, 2006.

P. Rosinger, B. Hashimi, and N. Nicolici, Scan Architecture With Mutually Exclusive Scan Segment Activation for Shift- and Capture-Power Reduction, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.7, pp.1142-1153, 2004.
DOI : 10.1109/TCAD.2004.829797

]. R. Sankaralingam, R. Oruganti, and N. A. Touba, Static compaction techniques to control scan vector power dissipation, Proceedings 18th IEEE VLSI Test Symposium, pp.35-42, 2000.
DOI : 10.1109/VTEST.2000.843824

]. R. Sankaralingam and N. A. Touba, Multi-Phase Shifting to Reducing Instantaneous Peak Power During Scan, Proc. Latin American Test Workshop, pp.78-83, 2003.

©. Copyright, ?. P. Girard, X. Wen, N. A. Touba, ]. J. Saxena et al., A Scheme to Reduce Power Consumption During Scan Testing, Proc. Int'l Test Conf, pp.670-677, 2001.

]. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind et al., A case study of ir-drop in structured at-speed testing, International Test Conference, 2003. Proceedings. ITC 2003., pp.1098-1104, 2003.
DOI : 10.1109/TEST.2003.1271098

]. C. Shi and R. Kapur, How Power Aware Test Improves Reliability and Yield, IEEDesign.com, 2004.

]. O. Sinanoglu, I. Bayraktaroglu, and A. Orailoglu, Dynamic test data transformations for average and peak power reductions, Proceedings The Seventh IEEE European Test Workshop, pp.113-118, 2002.
DOI : 10.1109/ETW.2002.1029647

]. S. Wang and S. K. Gupta, ATPG for Heat Dissipation Minimization During Test Application, Proc. Int'l Test Conf, pp.250-258, 1994.

]. S. Wang and S. K. Gupta, ATPG for heat dissipation minimization during scan testing, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.614-619, 1997.
DOI : 10.1145/266021.266298

]. X. Wen, Y. Yamashita, S. Morishima, S. Kajihara, L. Wang et al., Low-Capture-Power Test Generation for Scan-Based At- Speed Testing, Proc. Int'l Test Conf., Paper 39, 2005.

]. X. Wen, T. Suzuki, S. Kajihara, K. Miyase, Y. Minamoto et al., Efficient Test Set Modification for Capture Power Reduction, Journal of Low Power Electronics, vol.1, issue.3, pp.319-330, 2005.
DOI : 10.1166/jolpe.2005.042

]. X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja et al., A New ATPG Method for Efficient Capture Power Reduction During Scan Testing, Proc. VLSI Test Symp, pp.58-63, 2006.

]. P. Wohl, J. A. Waicukauski, S. Patel, and M. B. Amin, Efficient compression and application of deterministic patterns in a logic BIST architecture, Proceedings of the 40th conference on Design automation , DAC '03, pp.566-569, 2003.
DOI : 10.1145/775832.775976

]. G. Xu and A. D. Singh, Low Cost Launch-on-Shift Delay Test with Slow Scan Enable, Proc. European Test Symp., Paper, pp.3-4, 2006.

]. F. Brglez and H. Fujiwara, A Neutral Netlist of 10 Combinational Benchmark Circuits and a Target Translator in Fortran, R7.5 Low-Power Built-In Self-Test Proc. Int'l Symp. on Circuits and Systems, pp.663-698, 1985.

]. F. Corno, M. Rebaudengo, M. Sonza-reorda, and M. Violante, A new BIST architecture for low power circuits, European Test Workshop 1999 (Cat. No.PR00390), pp.160-164, 1999.
DOI : 10.1109/ETW.1999.804523

. Violente, Low Power BIST via Non-Linear Hybrid Cellular Automata, Proc. VLSI Test Symp, pp.29-34, 2000.

]. S. Gerstendörfer and H. J. Wunderlich, Minimized power consumption for scan-based BIST, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034), pp.77-84, 1999.
DOI : 10.1109/TEST.1999.805616

]. P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, J. Figueras et al., Low-energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349), 1999.
DOI : 10.1109/ISCAS.1999.777817

]. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, A test vector inhibiting technique for low energy BIST design, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.407-412, 1999.
DOI : 10.1109/VTEST.1999.766696

]. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, Circuit partitioning for low power BIST design with minimized peak power consumption, Proceedings Eighth Asian Test Symposium (ATS'99), pp.89-94, 1999.
DOI : 10.1109/ATS.1999.810734

]. P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, Low power BIST design by hypergraph partitioning: methodology and architectures, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.652-661, 2000.
DOI : 10.1109/TEST.2000.894260

]. P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and H. J. Wunderlich, A modified clock scheme for a low power BIST test pattern generator, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001, pp.306-311, 2001.
DOI : 10.1109/VTS.2001.923454

]. D. Gizopoulos, N. Kranitis, A. Paschalis, M. Psarakis, and Y. Zorian, Low power/energy BIST scheme for datapaths, Proceedings 18th IEEE VLSI Test Symposium, pp.23-28, 2000.
DOI : 10.1109/VTEST.2000.843822

Z. He, Z. Peng, P. Eles, P. Rosinger, and B. , Thermal-Aware SOC Test Scheduling with Test Set Partitioning and Interleaving, Proc. Int'l Symp. on Defect and Fault Tolerance in VLSI Systems, pp.477-485, 2006.

]. V. Iyengar and K. Chakrabarty, Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001, pp.42-47, 2001.
DOI : 10.1109/VTS.2001.923464

]. G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar, Multilevel hypergraph partitioning, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.7-45, 1998.
DOI : 10.1145/266021.266273

]. E. Larsson and H. Fujiwara, Power constrained preemptive TAM scheduling, Proceedings The Seventh IEEE European Test Workshop, pp.119-126, 2002.
DOI : 10.1109/ETW.2002.1029648

]. J. Lee and N. A. Touba, Low Power Test Data Compression Based on LFSR Reseeding, Proc. Int'l Conf. on Computer Design, pp.180-185, 2004.

]. C. Liu, K. Veeraraghavant, and V. Iyengar, Thermal-Aware Test Scheduling and Hot Spot Temperature Minimization for Core-Based Systems, Proc. Int'l Symp. on Defect and Fault Tolerance in VLSI Systems, pp.552-562, 2005.

]. S. Manich, A. Gabarro, M. Lopez, J. Figueras, P. Girard et al., Low power BIST by filtering non-detecting vectors, European Test Workshop 1999 (Cat. No.PR00390), pp.193-202, 2000.
DOI : 10.1109/ETW.1999.804524

]. V. Muresan, X. Wang, and M. Vladutiu, A comparison of classical scheduling approaches in power-constrained block-test scheduling, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.882-891, 2000.
DOI : 10.1109/TEST.2000.894299

]. J. Pouget, E. Larsson, Z. Peng, M. L. Flottes, and B. Rouzeyre, An efficient approach to SoC wrapper design, TAM configuration and test scheduling, The Eighth IEEE European Test Workshop, 2003. Proceedings., pp.117-122, 2003.
DOI : 10.1109/ETW.2003.1231668

URL : https://hal.archives-ouvertes.fr/lirmm-00191948

]. P. Rosinger, B. Hashimi, and K. Chakrabarty, Rapid Generation of Thermal-Safe Test Schedules, Design, Automation and Test in Europe, pp.840-845, 2005.
DOI : 10.1109/DATE.2005.252

URL : https://hal.archives-ouvertes.fr/hal-00181676

]. R. Ubar, T. Shchenova, G. Jervan, and Z. Peng, Energy Minimization for Hybrid BIST in a System-on-Chip Test Environment, European Test Symposium (ETS'05), pp.2-7, 2005.
DOI : 10.1109/ETS.2005.16

]. S. Wang and S. K. Gupta, DS-LFSR: A New BIST TPG for Low Heat Dissipation, Proc. Int'l Test Conf, pp.848-857, 1997.

]. S. Wang and S. K. Gupta, LT-RTPG: a new test-per-scan BIST TPG for low heat dissipation, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034), pp.85-94, 1999.
DOI : 10.1109/TEST.1999.805617

]. X. Zhang, K. Roy, and S. Bhawmik, POWERTEST: A Tool for Energy Concious Weighted Random Pattern Testing, Proc. Int'l Conf. on VLSI Design, pp.416-422, 1999.

©. Copyright, ?. P. Girard, X. Wen, N. A. Touba, R. Al-yamani et al., 6 Low-Power Test Data Compression Segmented Addressable Scan Architecture, Proc. VLSI Test Symp, pp.405-411, 2005.

]. D. Baik and K. K. Saluja, Progressive Random Access Scan: A Simultaneous Solution to Test Power, Test Data Volume and Test Time, Proc. Int'l Test Conf., paper 15, 2005.

]. A. Chandra and K. Chakrabarty, Combining low-power scan testing and test data compression for system-on-a-chip, Proceedings of the 38th conference on Design automation , DAC '01, pp.166-169, 2001.
DOI : 10.1145/378239.378396

]. A. Chandra and K. Chakrabarty, Reduction of SOC Test Data Volume, Scan Power and Testing Time Using Alternating Run-Length Codes, Proc. Design Automation Conf, pp.673-678, 2002.

]. I. Hamzaoglu and J. Patel, Reducing test application time for full scan embedded cores, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352), pp.206-267, 1999.
DOI : 10.1109/FTCS.1999.781060

URL : http://chaos.crhc.uiuc.edu/~hamza/ftcs99ih.ps.Z

]. J. Lee and N. A. Touba, Low Power Test Data Compression Based on LFSR Reseeding, Proc. Int'l Conf. on Computer Design, pp.180-185, 2004.

]. B. Pouya and A. Crouch, Optimization trade-offs for vector volume and test power, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.873-881, 2000.
DOI : 10.1109/TEST.2000.894298

]. H. Cheung and S. Gupta, A BIST Methodology for Comprehensive Testing of RAM with Reduced Heat Dissipation, R7.7 Low-Power RAM Testing Proc. Int'l Test Conf, pp.22-32, 1996.

]. L. Dilillo, P. Rosinger, P. Girard, and B. M. , Minimizing Test Power in SRAM through Reduction of Pre-charge Activity, Proceedings of the Design Automation & Test in Europe Conference, pp.1159-1165, 2006.
DOI : 10.1109/DATE.2006.244016

]. D. Liu and C. Svensson, Power Consumption Estimation in CMOS VLSI Chips, IEEE Journal of Solid-State Circuits, vol.29, issue.6, pp.663-670, 1994.