L. Wang, C. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, 2006.

J. Saxena, K. M. Butler, S. Jayaram, N. V. Kundu, P. Arvind et al., A case study of IR-drop in structured at-speed testing, Proc. Int'l Test Conf, pp.1098-1104, 2003.

P. Girard, Survey of low-power testing of VLSI circuits, IEEE Des. Test Comput, vol.19, issue.3, pp.82-92, 2002.
URL : https://hal.archives-ouvertes.fr/lirmm-00268584

N. Nicolici and B. A. Hashimi, Power-Constrained Testing of VLSI Circuits, 2003.

J. Wang, D. M. Walker, B. Majhi, G. Kruseman, L. E. Grontboud et al., Power supply noise in delay testing, Proc. Int'l Test Conf, 2006.

S. Ravi, Power-aware test: Challenges and solutions, Proc. Int'l Test Conf., Lecture, 2007.

N. Ahmed, M. Tehranipoor, and V. Jayaram, Transition delay fault test pattern generation considering supply voltage noise in a SOC design, Proc. Design Automation Conf, pp.533-538, 2007.

V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti, A stochastic pattern generation and optimization framework for variationtolerant, power-safe scan test, Proc. Intl. Test Conf, 2007.

S. Wang and W. Wei, A technique to reduce peak current and average power dissipation in scan designs by limited capture, Proc. Asian S. Pacific Design Automation Conf, pp.810-816, 2007.

X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. K. Saluja et al., A new ATPG method for efficient capture power reduction during scan testing, Proc. VLSI Test Symp, pp.58-63, 2006.

A. H. El-maleh and K. Al-utaibi, An efficient test relaxation technique for synchronous sequential circuits, IEEE Trans. Comput. Aided Des, vol.23, issue.6, pp.933-940, 2004.

K. Miyase and S. Kajihara, XID: Don't care identification of test patterns for combinational circuits, IEEE Trans. Comput. Aided Des, vol.23, issue.2, pp.321-326, 2004.

S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz et al., Preferred fill: A scalable method to reduce capture power for scan based designs, Proc. Int'l Test Conf, 2006.

X. Wen, K. Miyase, T. Suzuki, S. Kajihara, Y. Ohsumi et al., Critical-path-aware X-filling for effective IR-drop reduction in at-speed scan testing, Proc. Design Automation Conf, pp.527-532, 2007.

X. Wen, K. Miyase, S. Kajihara, T. Suzuki, Y. Yamato et al., A novel scheme to reduce power supply noise for high-quality at-speed scan testing, Proc. Int'l Test Conf, 2007.
URL : https://hal.archives-ouvertes.fr/lirmm-00195682