K. L. Shepard and V. Narayanan, Noise in Deep Submicrom Digital Design, Proceedings of IEEE ICCAD, pp.524-531, 1996.

H. H. Chen and D. D. Ling, Power supply noise analysis methodology for deep-submicron VLSI chip design, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.638-643, 1997.
DOI : 10.1145/266021.266307

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.32.4482

Y. M. Jiang and K. T. Cheng, Analysis of performance impact caused by power supply noise in deep submicron devices, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, pp.760-765, 1999.
DOI : 10.1145/309847.310053

R. Saleh, S. Z. Hussain, S. Rochel, and D. Overhauser, Clock skew verification in the presence of IR-drop in the power distribution network, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.6, pp.635-644, 2000.
DOI : 10.1109/43.848085

S. Pant, D. Blaauw, V. Zolotov, S. Sundareswaran, R. Panda et al., Vectorless Analysis of Supply Noise Induced Delay Variation A Modeling Approach for Addressing Power Supply Switching Noise Related Failures of Integrated Circuits Technology Trends in Power-Grid- Induced Noise Design and Validation of the Pentium® III and Pentium® 4 Processors Power Delivery Compact Physical IRdrop Models for GSI Power Distribution Networks Compact Physical IRDrop Models for Chip/Package Co-Design of Gigascale Integration (GSI) Pattern Generation for Delay Testing and Dynamic Timing analysis Considering Power- Supply Noise Effects Pattern Generation and Estimation for Power Supply Noise Analysis Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths A Vector-based Approach for Power Supply Noise Analysis in Test Compaction Supply Voltage Noise Aware ATPG for Transition Delay Faults Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing Layoutaware , IR-drop Tolerant Transition Fault Pattern Generation A Physical-Location-Aware X-filling Method for IR-Drop Reduction in At-Speed Test Coping with Buffer Delay Change Due to Power and Ground Noise, Proceedings of IEEE International Conference on Computer-Aided Design Europe Conference Proceedings of International Workshop on Systemlevel Interconnect Prediction Symposium on VLSI Circuits Digest of Technical Papers Proceedings of IEEE International Interconnect Technology Conference Proceedings of IEEE VLSI Test Symposium Proceedings of IEEE VLSI Test Symposium Proceedings of IEEE International Test Conference Proceedings of IEEE VLSI Test Symposium Proceeding of ACM/IEEE Design Automation Conference17] J. Lee, S. Narayan, M. Kapralos, and M. Tehranipoor Proceedings of Design, Automation and Test in Europe Conference Proceedings of Design Automation Conference, pp.184-191, 2001.