A. , G. M. Sassatelli, G. Benoit, P. Saint-jean, N. Varyani et al., An adaptive message passing MPSoC framework, International Journal of Reconfigurable Computing, 2009.
URL : https://hal.archives-ouvertes.fr/lirmm-00373949

C. , E. Oliveira, R. Calazans, N. And-moraes, and F. , HeMPS -A framework for NoC-based MPSoC generation, Proceedings of the International Symposium on Circuits and Systems (ISCAS), pp.1345-1348, 2009.

C. , E. Marcon, C. Calazans, N. And-moraes, and F. , Evaluation of static and dynamic task mapping algorithms in NoC-based MPSoCs, Proceedings of the International Conference on System-on-chip (SoC, pp.87-90, 2009.

C. , C. And-marculescu, and R. , Incremental run-time application mapping for homogeneous NoCs with multiple voltage Levels, Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS, pp.161-166, 2007.

C. , C. And-marculescu, and R. , User-aware dynamic task allocation in networks-on-chip, Proceedings of the Design, Automation and Test in Europe (DATE), pp.1232-1237, 2008.

C. , C. And-marculescu, and R. , Run-time task allocation considering user behavior in embedded multiprocessor networks-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.1, pp.78-91, 2010.

F. , M. A. Krist, R. And-henkel, and J. , ADAM: Run-time agent-based distributed application mapping for on-chip communication, Proceedings of the Design Automation Conference(DAC, pp.760-765, 2008.

H. Olzenspies, P. K. Hurink, J. L. Kuper, J. And-smit, and G. J. , Run-time spatial mapping of streaming applications to a heterogeneous multi-processor system-on-chip (MPSoC), Proceedings of the Design, Automation and Test in Europe (DATE), pp.212-217, 2008.

H. , M. And-nunez-yanes, and J. , Run-time resource management in fault-tolerant network on reconfigurable chips, Proceedings of the Field Programmable Logic and Applications (FPL), pp.574-577, 2009.

H. , J. And-marculescu, and R. , Energy-and performance-aware mapping for regular NoC architectures, IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.4, pp.551-562, 2005.

I. , L. S. Thuy, A. And-glesner, and M. , Executable system-level specification models containing UML-based behavioral patterns, Proceedings of the Design, Automation and Test in Europe (DATE), pp.301-306, 2007.

I. , L. S. Ost, L. Moraes, F. G. Maatta, S. Nurmi et al., Evaluating the impact of communication latency on applications running over on-chip multiprocessing platforms: A layered approach, Proceedings of the International Conference on Industrial Informatics (INDIN), pp.148-153, 2010.

I. , L. S. And-santos, and O. , Fast and Accurate Transaction-Level Model of a Wormhole Network-on- Chip with Priority Preemptive Virtual Channel Arbitration, Design, Automation and Test in Europe (DATE, pp.1-6, 2011.

J. , C. Lattard, D. Jerraya, A. A. Sassatellli, G. Benoit et al., Heterogeneous versus homogeneous MPSoC approaches for a mobile LTE modem, Proceedings of the Design, Automation and Test in Europe (DATE), pp.184-189, 2010.

K. , A. Li, B. Peh, L. And-samadi, and K. , A fast and accurate NoC power and area model for early-stage design space exploration, Proceedings of the Design, Automation and Test in Europe (DATE), pp.423-428, 2009.

K. , T. Kukkala, P. Orsila, H. Salminen, E. H¨annik¨ainenh¨annikh¨annik¨-h¨annik¨ainen et al., UML-based multiprocessor SoC design framework, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.281-320, 2006.

L. , E. A. And-neuendorffer, and S. , Actor-oriented models for codesign: Balancing re-use and performance. Formal Methods and Models for System Design, pp.33-56, 2004.

L. , H. G. Ogras, U. Y. Marculescu, R. And, C. et al., Design space exploration and prototyping for on-chip multimedia applications, Proceedings of the Design Automation Conference (DAC, pp.1-6, 2006.

L. S. And-bagherzadeh and N. , A high level power model for Network-on-Chip (NoC) router, Computers & Electrical Engineering, vol.35, issue.6, pp.837-845, 2009.

L. , S. Lu, C. And, H. , and P. , Congestion-and energy-aware run-time mapping for tile-based networkon-chip architecture, Proceedings of the Frontier Computing, Theory, Technologies and Applications (FCTTA), pp.300-305, 2010.

M. M¨a¨attm¨a¨att¨-m¨a¨att¨a, S. Indrusiak, L. S. Ost, L. M¨ollerm¨oller, L. Nurmi et al., Validation of executable application models mapped onto network-on-chip platforms, Proceedings of the IEEE Symposium on Industrial Embedded Systems (SIES), pp.118-125, 2008.

M. M¨a¨attm¨a¨att¨-m¨a¨att¨a, S. Indrusiak, L. S. Ost, L. M¨ollerm¨oller, L. Nurmi et al., Characterising embedded applications using a UML profile, Proceedings of the International Conference on Systemon-Chip (SoC), pp.172-175, 2009.

M. M¨a¨attm¨a¨att¨-m¨a¨att¨a, S. Indrusiak, L. S. Ost, L. M¨ollerm¨oller, L. Nurmi et al., Joint Validation of Application Models and Multi-Abstraction Network-on-Chip Platforms, International Journal of Embedded and Real-Time Communication Systems, vol.1, issue.1, pp.86-101, 2010.
DOI : 10.4018/jertcs.2010103005

M. , M. Ost, L. Carara, E. Guindani, G. Gouvea et al., Energyaware dynamic task mapping for NoC-based MPSoCs, Proceedings of the International Symposium on Circuits and Systems (ISCAS), pp.1676-1679, 2011.

M. , M. Amory, A. Ost, L. And-moraes, and F. , Multi-task dynamic mapping onto NoC-based MP- SoCs, Proceedings of the Symposium on Integrated Circuits and Systems Design (SBCCI, pp.191-196, 2011.

M. , C. Moreno, E. I. Calazans, N. L. And-moraes, and F. , Comparison of network-on-chip mapping algorithms targeting low energy consumption, IET Computers and Digital Techniques 2, pp.471-482, 2008.

M. , R. Ogras, U. Peh, L. Jerger, N. And-hoskote et al., Out-standing research problems in NoC design: System, microarchitecture, and circuit perspectives, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.1, pp.3-21, 2009.

M. , D. Montperrus, L. And-verkest, and D. , Power dissipation of the network-on-chip in multiprocessor system-on-chip dedicated for video coding applications, Journal of Signal Processing Systems, vol.57, issue.2, pp.139-153, 2009.

M. , F. He, D. , A. Mueller, and W. , Closing the gap between UML-based modeling, simulation and synthesis of combined HW/SW systems, Proceedings of the Design, Automation and Test in Europe, pp.1201-1206, 2010.

M. , A. Ambrose, J. A. Nelson, A. Stefan, R. Cotofana et al., Composable, energymanaged , real-time MPSOC platform, Proceedings of the Optimization of Electrical and Electronic Equipment (OPTIM), pp.870-876, 2010.

N. , A. Sassatelli, G. Torres, L. Gil, T. Soares et al., A contextual re-sources use: proof of concept through the APACHES platform, Proceedings of the Design and Diagnostics of Electronic Circuits and Systems (DDECS), pp.42-47, 2006.

O. , L. Indrusiak, L. S. Guindani, G. Reinbrecht, C. Raupp et al., A high abstraction, high accuracy power estimation model for networks-on-chip, Proceedings of the Symposium on Integrated Circuits and Systems Design (SBCCI), pp.193-198, 2009.

O. , L. Guindani, G. Indrusiak, L. S. M¨a¨att¨am¨am¨a¨-m¨a¨attm¨a¨att¨-m¨a¨att¨a, S. And-moraes et al., Exploring NoC-based MPSoC design space with power estimation models, IEEE Design and Test of Computers, vol.28, issue.2, pp.16-29, 2011.

P. , A. D. Thompson, M. Polstra, S. And-erbas, and C. , Calibration of abstract performance models for system-level design space exploration, Proc. Syst. 50, pp.99-114, 2008.

S. , A. Chen, J. And-thiele, and L. , Dynamic power-aware mapping of applications onto heterogeneous MPSoC platforms, IEEE Trans. Indust. Info, vol.6, issue.4, pp.692-707, 2010.

S. , L. T. Hurink, J. L. And-smit, and G. J. , Run-time mapping of applications to a heterogeneous SoC, Proceedings of the International Symposium on System-on-Chip (SoC, pp.78-81, 2005.

S. , A. K. Srikanthan, T. Kumar, A. And-jigang, and W. , Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms, J. Syst. Archite, vol.56, issue.7, pp.242-255, 2010.

W. , A. Wildermann, S. And-teich, and J. , Dynamic decentralized mapping of tree-structured applications on NoC architectures, Proceedings of the Networks on Chip (NoC, pp.201-209, 2011.

W. , S. Ziermann, T. And-teich, and J. , Run time mapping of adaptive applications onto homogeneous NoC-based reconfigurable architectures, Proceedings of the Field-Programmable Technology (FPT), pp.514-517, 2009.

Y. , T. Benini, L. And-de-micheli, and G. , Analysis of power consumption on switch fabrics in network routers, Proceedings of the Design Automation and Conference (DAC), pp.524-529, 2002.