K. L. Shepard and V. Narayanan, Noise in deep submicron digital design, Proceedings of International Conference on Computer Aided Design, pp.524-531, 1996.
DOI : 10.1109/ICCAD.1996.569906

H. Chen and D. Ling, Power supply noise analysis methodology for deep submicron VLSI design, Proceedings of ACM/IEEE Design Automation Conf, pp.638-643, 1997.

R. Saleh, S. Z. Hussain, S. Rochel, and D. Overhauser, Clock skew verification in the presence of IR-drop in the power distribution network, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.6, pp.635-644, 2000.
DOI : 10.1109/43.848085

S. R. Nassif and O. Fakhouri, Technology trends in power-gridinduced noise, Proceedings of International Workshop on System-level Interconnect Prediction, pp.55-59, 2002.

J. Jiang, M. Sauer, A. Czutro, B. Becker, and I. Polian, On the optimality of K longest path generation algorithm under memory constraints, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.418-423, 2012.
DOI : 10.1109/DATE.2012.6176507

Z. Jiang, Z. Wang, J. Wang, and D. M. Walker, Levelized low cost delay test compaction considering IR-drop induced power supply noise, 29th VLSI Test Symposium, pp.52-57, 2011.
DOI : 10.1109/VTS.2011.5783754

N. Badereddine, P. Girard, S. Pravossoudovitch, and H. Wunderlich, Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing, 2006 IFIP International Conference on Very Large Scale Integration, pp.403-408, 2006.
DOI : 10.1109/VLSISOC.2006.313222

URL : https://hal.archives-ouvertes.fr/lirmm-00108141

M. Aparicio, M. Comte, F. Aza¨?saza¨?s, M. Renovell, J. Jiang et al., Pre-characterization procedure for a mixed mode simulation of IR-drop induced delays, 2013 14th Latin American Test Workshop, LATW, 2013.
DOI : 10.1109/LATW.2013.6562657

URL : https://hal.archives-ouvertes.fr/lirmm-00820067