D. Buchholz and J. Dunlop, The future of enterprise computing: Prepare for compute continuum, 2011.

S. Guertin and M. White, CMOS reliability challenges the future of commercial digital electronics and nasa, 2010.

. Clereco-consortium, Cross-layer early reliability evaluation for the computing continuum official website, 2013.

M. Omana, G. Papasso, D. Rossi, and C. Metra, A model for transient fault propagation in combinatorial logic, Proceedings of the 9th IEEE On-Line Testing Symposium, pp.111-115, 2003.

A. Maheshwari, I. Koren, and N. Burleson, Techniques for transient fault sensitivity analysis and reduction in vlsi circuits, Proceedings of the 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.597-604, 2003.

K. Bowman, S. Duvall, and J. Meindl, Impact of die-to-die and withindie parameter fluctuations on the maximum clock frequency distribution for gigascale integration, IEEE Journal of Solid-State Circuits, vol.37, pp.183-190, 2002.

A. Agarwal, D. Blaauw, and V. Zolotov, Statistical timing analysis for intra-die process variations with spatial correlations, Proceedings of the International Conference on Computer Aided Design, pp.900-907, 2003.

K. Mohanram and N. Touba, Cost-effective approach for reducing soft error failure rate in logic circuits, Proceedings of the International Test Conference, vol.1, pp.893-901, 2003.

M. Sonza-reorda and M. Violante, Accurate and efficient analysis of single event transients in vlsi circuits, Proceedings of the 9th IEEE On-Line Testing Symposium, pp.101-105, 2003.

P. Shivakumar, M. Kistler, S. Keckler, D. Burger, and L. Alvisi, Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings of the International Conference on Dependable Systems and Networks, pp.389-398, 2002.

S. S. Mukherjee, C. Weaver, J. S. Emer, S. K. Reinhardt, and T. M. Austin, A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, pp.29-42, 2003.

X. Li, S. V. Adve, P. Bose, and J. A. Rivers, Softarch: An architecture level tool for modeling and analyzing soft errors, Proceedings of the International Conference on Dependable Systems and Networks, 2005. DSN 2005, pp.496-505, 2005.

N. J. Wang, A. Mahesri, and S. J. Patel, Examining ace analysis reliability estimates using fault-injection, pp.460-469, 2007.

N. Soundararajan, A. Parashar, and A. Sivasubramaniam, Mechanisms for bounding vulnerabilities of processor structures, Proceedings of the 34th Annual International Symposium on Computer Architecture, pp.506-515, 2007.

K. R. Walcott, G. Humphreys, and S. Gurumurthi, Dynamic prediction of architectural vulnerability from microarchitectural state, Proceedings of the 34th Annual International Symposium on Computer Architecture, pp.516-527, 2007.

L. Duan, B. Li, and L. Peng, Versatile prediction and fast estimation of architectural vulnerability factor from processor performance metrics, Proceedings of the IEEE 15th International Symposium on High Performance Computer Architecture, pp.129-140, 2009.

A. Biswas, N. Soundararajan, S. S. Mukherjee, and S. Gurumurthi, Quantized AVF: A means of capturing vulnerability variations over small windows of time, 2009.

X. Fu, J. Poe, T. Li, and J. A. Fortes, Characterizing microarchitecture soft error vulnerability phase behavior, Proceedings of the 14th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, pp.147-155, 2006.

A. Biswas, P. Racunas, R. Cheveresan, J. S. Emer, S. S. Mukherjee et al., Computing architectural vulnerability factors for addressbased structures, Proceedings of the 32Nd Annual International Symposium on Computer Architecture 2005. ISCA 2005, pp.532-543, 2005.

A. Ma, Y. Cheng, and Z. Xing, Accurate and simplified prediction of avf for delay and energy efficient cache design, J. Comput. Sci. Technol, vol.26, issue.3, pp.504-519, 2011.

Y. Cheng, A. Ma, Y. Tang, and M. Zhang, Accurate vulnerability estimation for cache hierarchy, Proceedings of the 7th International Conference on Networked Computing and Advanced Information Management 2011. NCM, pp.7-14, 2001.

X. Li, S. V. Adve, P. Bose, and J. A. Rivers, Online estimation of architectural vulnerability factor for soft errors, Proceedings of the 35th International Symposium on Computer Architecture, pp.341-352, 2008.

J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, The case for lifetime reliability-aware microprocessors, Proceedings of the 31st Annual International Symposium on Computer Architecture, vol.32, p.276, 2004.

J. Shin, V. V. Zyuban, Z. Hu, J. A. Rivers, and P. Bose, A framework for architecture-level lifetime reliability modeling, Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pp.534-543, 2007.

T. S. Rosing, K. Mihic, and G. D. Micheli, Power and reliability management of socs, IEEE Trans. VLSI Syst, vol.15, issue.4, pp.391-403, 2007.

L. Huang and Q. Xu, Agesim: A simulation framework for evaluating the lifetime reliability of processor-based socs, Proceedings of the Design, Automation, and Test in Europe Conference 2010. DATE 2010, pp.51-56, 2010.

E. Humenay, D. Tarjan, W. Huang, and K. Skadron, Impact of parameter variations on multicore architectures, Workshop on Architectural Support for Gigascale Integration (ASGI-06, 2006.

B. Romanescu, S. Ozev, and D. Sorin, Quantifying the impact of process variability on uniprocessor behavior, Workshop on Architectural Reliability, 2006.

R. L. Smith, Statistics of extremes, with applications in environment, insurance, and finance, Monographs on Statistics and Applied Probability, vol.99, pp.1-78, 2004.

T. M. Jones, M. F. O'boyle, and O. Ergin, Evaluating the effects of compiler optimisations on AVF, Proceedings of the Workshop on interaction between compilers and computer architecture, 2008.

V. Sridharan and D. R. Kaeli, Eliminating microarchitectural dependency from architectural vulnerability, Proceedings of the IEEE 15th International Symposium on High Performance Computer Architecture, pp.117-128, 2009.

J. A. Butts and G. S. Sohi, Dynamic dead-instruction detection and elimination, Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, pp.199-210, 2002.

A. Benso, S. D. Carlo, G. D. Natale, and P. Prinetto, Static analysis of seu effects on software applications, Proceedings of the International Test Conference, pp.500-508, 2002.

V. Sridharan and D. R. Kaeli, Using pvf traces to accelerate avf modeling, Proceedings of the IEEE Workshop on Silicon Errors in Logic-System Effects, pp.23-24, 2010.

A. Savino, S. D. Carlo, G. Politano, A. Benso, A. Bosio et al., Statistical reliability estimation of microprocessor-based systems, IEEE Trans. Computers, vol.61, issue.11, pp.1521-1534, 2012.

V. Sridharan and D. R. Kaeli, The effect of input data on program vulnerability, Workshop on System Effects of Logic Soft Errors, 2009.

N. J. Wang, J. Quek, T. M. Rafacz, and S. J. Patel, Characterizing the effects of transient faults on a high-performance processor pipeline, Proceedings of the International Conference on Dependable Systems and Networks, pp.61-70, 2004.

G. P. Saggese, N. J. Wang, Z. Kalbarczyk, S. J. Patel, and R. K. Iyer, An experimental study of soft errors in microprocessors, IEEE Micro, vol.25, issue.6, pp.30-39, 2005.

D. Thaker, D. Franklin, J. Oliver, S. Biswas, D. Lockhart et al., Characterization of error-tolerant applications when protecting control data, Proceedings of the IEEE International Symposium on Workload Characterization, pp.142-149, 2006.

S. Z. Shazli, M. A. Abdul-aziz, M. B. Tahoori, and D. R. Kaeli, A field analysis of system-level effects of soft errors occurring in microprocessors used in information systems, Proceedings of the IEEE International Test Conference, pp.1-10, 2008.

M. Rahman, B. R. Childers, and S. Cho, Stealth works: Emulating memory errors, Proceedings of the First International Conference on Runtime Verification, RV'10, pp.360-367, 2010.

S. Pan, Y. Hu, and X. L. , IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults, IEEE Transactions on Very Large Scale Integration (VLSI), vol.20, pp.777-790, 2012.

A. Messer, P. Bernadat, G. Fu, D. Chen, Z. Dimitrijevic et al., Susceptibility of commodity systems and software to memory soft errors, IEEE Trans. Computers, vol.53, issue.12, pp.1557-1568, 2004.

M. Breuer, Multi-media applications and imprecise computation, Proceedings of the 8th Euromicro Conference on Digital System Design, pp.2-7, 2005.

X. Li and D. Yeung, Application-level correctness and its impact on fault tolerance, Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, pp.181-192, 2007.

L. Leem, H. Cho, J. Bau, Q. A. Jacobson, and S. Mitra, ERSA: Error resilient system architecture for probabilistic applications, Proceedings of the Design, Automation Test in Europe Conference Exhibition 2010. DATE 2010, pp.1560-1565, 2010.

P. Racunas, K. Constantinides, S. Manne, and S. S. Mukherjee, Perturbation-based fault screening, Proceedings of the IEEE 13th International Symposium on High Performance Computer Architecture, pp.169-180, 2007.

N. J. Wang and S. J. Patel, Restore: Symptom-based soft error detection in microprocessors, IEEE Trans. Dependable Sec. Comput, vol.3, issue.3, pp.188-201, 2006.

P. Ramchandran, S. Adve, V. Adve, Y. Z. , and M. Li, Swat: An error resilient system, 4th Workshop on Silicon Errors in Logic -System Effects (SELSE -IV), 2008.