M. Berg, Fault Tolerance Implementation within SRAM Based FPGA Design Based upon the Increased Level of Single Event Upset Susceptibility, 12th IEEE International On-Line Testing Symposium (IOLTS'06), 2006.
DOI : 10.1109/IOLTS.2006.36

A. Ceratti, T. Copetti, L. Bolzani, and F. Vargas, On-chip aging sensor to monitor NBTI effect in nano-scale SRAM, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), p.354359, 2012.
DOI : 10.1109/DDECS.2012.6219087

M. Bagatin, S. Gerardin, A. Paccagnella, and F. Faccio, Impact of NBTI Aging on the Single-Event Upset of SRAM Cells, IEEE Transactions on Nuclear Science, vol.57, issue.6, pp.3245-3250, 2010.
DOI : 10.1109/TNS.2010.2084100

E. H. Cannon, R. Kleinosowski, D. D. Kanj, R. V. Reinhardt, and . Joshi, The Impact of Aging Effects and Manufacturing Variation on SRAM Soft-Error Rate, IEEE Transactions on Device and Materials Reliability, vol.8, issue.1, pp.145-152, 2008.
DOI : 10.1109/TDMR.2007.912983

O. Flament, J. Baggio, C. D-''hose, G. Gasiot, and J. L. Leray, 14 MeV neutroninduced SEU in SRAM devices, In Nuclear Science IEEE Transactions on, vol.51, issue.5, p.29082911, 2004.

C. Y. Lin, R. H. Huang, C. H. Wen, and A. C. Chang, Agingaware statistical soft-error-rate analysis for nano-scaled CMOS designs, Int. Symp. onVLSI Des. Autom. Test, issue.2, pp.1-4, 2013.

C. T. Chow, L. S. Tsui, P. H. Leong, W. Luk, and S. J. Wilton, Dynamic voltage scaling for commercial FPGAs, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005., pp.173-184, 2005.
DOI : 10.1109/FPT.2005.1568543

X. Inc, Spartan-6 Documentation Available

J. Tonfat, Analyzing the influence of voltage scaling for soft errors in SRAM-based FPGAs, 2013 14th European Conference on Radiation and Its Effects on Components and Systems (RADECS)
DOI : 10.1109/RADECS.2013.6937403

A. Maiti, L. Mcdougall, and P. Schaumont, The Impact of Aging on an FPGA-Based Physical Unclonable Function, 2011 21st International Conference on Field Programmable Logic and Applications, pp.151-156, 2011.
DOI : 10.1109/FPL.2011.35

F. Bruguier, P. Benoit, P. Maurine, and L. Torres, A New Process Characterization Method for FPGAs Based on Electromagnetic Analysis, 2011 21st International Conference on Field Programmable Logic and Applications, pp.20-23, 2011.
DOI : 10.1109/FPL.2011.15

URL : https://hal.archives-ouvertes.fr/lirmm-00616954

F. Bruguier, P. Benoit, and L. Torres, Investigation of Digital Sensors for Variability Characterization on FPGAs, Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 ? ReCoSoC'10, pp.95-100, 2010.
URL : https://hal.archives-ouvertes.fr/lirmm-00548801

V. Chandra and R. Aitken, Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.114-122, 2008.
DOI : 10.1109/DFT.2008.50

T. Heijmen, D. Giot, and P. Roche, Factors That Impact the Critical Charge of Memory Elements, 12th IEEE International On-Line Testing Symposium (IOLTS'06), pp.57-62, 2006.
DOI : 10.1109/IOLTS.2006.35

. Fig, Neutron Cross-section of the configuration memory bits for Spartan-6 FPGAs before and after stress (aging effect) for different power supply modes

C. Schlunder, S. Aresu, G. Georgakos, W. Kanert, H. Reisinger et al., HCI vs. BTI? - Neither one's out, 2012 IEEE International Reliability Physics Symposium (IRPS), 2012.
DOI : 10.1109/IRPS.2012.6241797

P. E. Dodd and L. W. Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, Predictive Technology Model, pp.583-602, 2003.
DOI : 10.1109/TNS.2003.813129

I. Xilinx, Device Reliability Report Third Quarter 2013, 2013.