J. Yi and D. Lilja, Simulation of computer architectures: simulators, benchmarks, methodologies, and recommendations, IEEE Transactions on Computers, vol.55, issue.3, pp.268-280, 2006.
DOI : 10.1109/TC.2006.44

A. Butko, R. Garibotti, L. Ost, and G. Sassatelli, Accuracy evaluation of GEM5 simulator system, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-7
DOI : 10.1109/ReCoSoC.2012.6322869

D. Sanchez and C. Kozyrakis, Zsim: fast and accurate microarchitectural simulation of thousand-core systems, Proceedings of the 40th Annual International Symposium on Computer Architecture, ser. ISCA '13, pp.475-486, 2013.

S. Stattelmann, S. Ottlik, A. Viehl, O. Bringmann, and W. , Combining instruction set simulation and WCET analysis for embedded software performance estimation, 7th IEEE International Symposium on Industrial Embedded Systems (SIES'12), pp.295-298, 2012.
DOI : 10.1109/SIES.2012.6356600

J. Chen, M. Annavaram, and M. Dubois, SlackSim, ACM SIGARCH Computer Architecture News, vol.37, issue.2, pp.20-29, 2009.
DOI : 10.1145/1577129.1577134

J. Miller, H. Kasture, G. Kurian, C. Gruenwald, N. Beckmann et al., Graphite: A distributed parallel simulator for multicores, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416635

S. Abdi, G. Schirner, Y. Hwang, D. Gajski, and L. Yu, Automatic TLM Generation for Early Validation of Multicore Systems, IEEE Design & Test of Computers, vol.28, issue.3, pp.10-19, 2011.
DOI : 10.1109/MDT.2010.117

A. Rico, A. Duran, F. Cabarcas, Y. Etsion, A. Ramirez et al., Trace-driven simulation of multithreaded applications, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, pp.87-96, 2011.
DOI : 10.1109/ISPASS.2011.5762718

S. Cho, S. Demetriades, S. Evans, L. Jin, H. Lee et al., TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation, 2008 37th International Conference on Parallel Processing, pp.446-453, 2008.
DOI : 10.1109/ICPP.2008.7

P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg et al., Simics: A full system simulation platform, Computer, vol.35, issue.2, pp.50-58, 2002.
DOI : 10.1109/2.982916

C. Minkenberg and G. Rodriguez, Trace-driven co-simulation of highperformance computing systems using omnet++, 2nd Int'l Conference on Simulation Tools and Techniques, pp.651-65, 2009.

J. Hestness, B. Grot, and S. W. Keckler, Netrace, Proceedings of the Third International Workshop on Network on Chip Architectures, NoCArc '10, pp.31-36, 2010.
DOI : 10.1145/1921249.1921258

R. A. Uhlig, Trap-driven Memory Simulation, 1995.
DOI : 10.1145/244804.244805

S. Woo, M. Ohara, E. Torrie, J. Singh, and A. Gupta, The splash-2 programs: characterization and methodological considerations, Computer Architecture Proceedings., 22nd Annual International Symposium on, pp.24-36, 1995.