. Intel, Many Integrated Core Architecture, 2015.

. Ezchip, TILE-Gx Multicore, 2015.

. Kalray, Multi-Purpose Processor Array, 2015.

S. Che, M. Boyer, J. Meng, D. Tarjan, J. Sheaffer et al., Rodinia: A benchmark suite for heterogeneous computing, 2009 IEEE International Symposium on Workload Characterization (IISWC), pp.44-54, 2009.
DOI : 10.1109/IISWC.2009.5306797

A. Butko, R. Garibotti, L. Ost, V. Lapotre, A. Gamatié et al., A trace-driven approach for fast and accurate simulation of manycore architectures, The 20th Asia and South Pacific Design Automation Conference, pp.707-712, 2015.
DOI : 10.1109/ASPDAC.2015.7059093

URL : https://hal.archives-ouvertes.fr/lirmm-01255921

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

A. Butko, R. Garibotti, L. Ost, and G. Sassatelli, Accuracy evaluation of GEM5 simulator system, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-7, 2012.
DOI : 10.1109/ReCoSoC.2012.6322869

A. Gutierrez, J. Pusdesris, R. Dreslinski, T. Mudge, C. Sudanthi et al., Sources of error in full-system simulation, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), pp.13-22, 2014.
DOI : 10.1109/ISPASS.2014.6844457

F. Endo, D. Courousse, and H. Charles, Micro-architectural simulation of in-order and out-of-order ARM microprocessors with gem5, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp.266-273, 2014.
DOI : 10.1109/SAMOS.2014.6893220

F. A. Endo, D. Couroussé, and H. Charles, Micro-architectural simulation of embedded core heterogeneity with gem5 and mcpat, " in Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, ser. RAPIDO '15, pp.1-7, 2015.