A resilience roadmap, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1011-1016, 2010. ,
DOI : 10.1109/DATE.2010.5456958
Analysis and design of soft-error hardened latches, Proceedings of the 15th ACM Great Lakes symposium on VLSI , GLSVSLI '05, pp.328-331, 2005. ,
DOI : 10.1145/1057661.1057740
Single-Event Upset Analysis and Protection in High Speed Circuits, Eleventh IEEE European Test Symposium (ETS'06), pp.29-34, 2006. ,
DOI : 10.1109/ETS.2006.41
Defective Behaviour of an 8T SRAM Cell with Open Defects, 2010 Second International Conference on Advances in System Testing and Validation Lifecycle, pp.81-86, 2010. ,
DOI : 10.1109/VALID.2010.19
Overview of new and emerging radiation resistant materials for space environment applications, 2011 Aerospace Conference, pp.1-11, 2011. ,
DOI : 10.1109/AERO.2011.5747389
FinFET SRAM hardening through design and technology parameters considering process variations, 2013 14th European Conference on Radiation and Its Effects on Components and Systems (RADECS), p.2013 ,
DOI : 10.1109/RADECS.2013.6937372
Scalability of Capacitive Hardening for Flip-Flops in Advanced Technology Nodes, IEEE Transactions on Nuclear Science, vol.60, issue.6, pp.4394-4398, 2013. ,
DOI : 10.1109/TNS.2013.2286272
Effects of ionizing radiation on taox-based memristive devices, Aerospace Conference, pp.1-9, 2014. ,
Sugiyama, A 1.3 GHz fifth generation sparc64 microprocessor, Proceedings of the 40th annual Design Automation Conference, pp.702-705, 2003. ,
A cross-layer approach for new reliability-performance trade-offs in MLC NAND flash memories, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.881-886, 2012. ,
DOI : 10.1109/DATE.2012.6176622
Novel Mixed Codes for Multiple-Cell Upsets Mitigation in Static RAMs, IEEE Micro, vol.33, issue.6, pp.66-74, 2013. ,
DOI : 10.1109/MM.2013.125
Design and optimization of adaptable BCH codecs for NAND flash memories, Microprocessors and Microsystems, vol.37, issue.4-5, pp.4-5, 2013. ,
DOI : 10.1016/j.micpro.2013.03.002
Analyzing the efficiency of l1 caches for reliable hybrid-voltage operation using edc codes, Very Large Scale Integration (VLSI) Systems, IEEE Trans, vol.22, issue.10, pp.2211-2215, 2014. ,
Enhanced memory reliability against multiple cell upsets using decimal matrix code, Very Large Scale Integration (VLSI) systems, IEEE Trans, vol.22, issue.1, pp.127-135, 2014. ,
PAnDA: A Reconfigurable Architecture that Adapts to Physical Substrate Variations, IEEE Transactions on Computers, vol.62, issue.8, pp.1584-1596, 2013. ,
DOI : 10.1109/TC.2013.59
Highperformance and fault-tolerant 3d noc-bus hybrid architecture using arb-netbased adaptive monitoring platform, IEEE Trans. Comput, vol.63, issue.3, pp.734-747, 2014. ,
A watchdog processor to detect data and control flow errors, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pp.144-148, 2003. ,
DOI : 10.1109/OLT.2003.1214381
On-Line Instruction-Checking in Pipelined Microprocessors, 2008 17th Asian Test Symposium, pp.377-382, 2008. ,
DOI : 10.1109/ATS.2008.47
URL : https://hal.archives-ouvertes.fr/lirmm-00363689
A novel methodology to increase fault tolerance in autonomous fpga-based systems, IEEE 20th International On-Line Testing Symposium (IOLTS), 2014, pp.2014-87 ,
Software implemented fault tolerance technologies and experience, Proceedings of the 23rd International Symposium on Fault- Tolerant Computing, pp.2-9, 1993. ,
Control-flow checking via regular expressions, Proceedings 10th Asian Test Symposium, pp.299-303, 2001. ,
DOI : 10.1109/ATS.2001.990300
Data criticality estimation in software applications, International Test Conference, 2003. Proceedings. ITC 2003., pp.802-810, 2003. ,
DOI : 10.1109/TEST.2003.1270912
Promon: a profile monitor of software applications, in: 8th IEEE International Workshop on Design and Diagnostics of Electronic Circuits and Systems, pp.81-86, 2005. ,
Hardware fault tolerance implemented in software at the compiler level with special emphasis on array-variable protection, Proceedings of The 15th International Conference Mixed Design of Integrated Circuits and Systems, pp.115-119, 2008. ,
The automatic implementation of Software Implemented Hardware Fault Tolerance algorithms as a radiation-induced soft errors mitigation technique, 2008 IEEE Nuclear Science Symposium Conference Record, pp.841-846, 2008. ,
DOI : 10.1109/NSSMIC.2008.4774657
Software-Implemented Hardware Fault Tolerance, 2006. ,
Final Report for ccc Cross-Layer Reliability Visioning Study, 2011. ,
Cross-Layer Early Reliability Evaluation for the Computing Continuum Official Website, 2013. ,
Cross-layer early reliability evaluation for the computing continuum, 17th Euromicro Conference on Digital System Design (DSD), pp.2014-199, 2014. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01234117
Cross-layer early reliability evaluation: Challenges and promises, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS), pp.228-233, 2014. ,
DOI : 10.1109/IOLTS.2014.6873704
URL : https://hal.archives-ouvertes.fr/lirmm-01234123
The Future of Enterprise Computing: Prepare for Compute Continuum, pp.0-8, 2011. ,
Timing vulnerability factors of sequential elements in modern microprocessors, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), pp.2013-55 ,
DOI : 10.1109/IOLTS.2013.6604051
Timing Vulnerability Factors of Sequentials, IEEE Transactions on Device and Materials Reliability, vol.4, issue.3, pp.516-522, 2004. ,
DOI : 10.1109/TDMR.2004.831993
Timing Vulnerability Factors of Ultra Deep-sub-micron CMOS, 2011 Sixteenth IEEE European Test Symposium, pp.202-202, 2011. ,
DOI : 10.1109/ETS.2011.40
A systematic methodology to compute the architectural vulnerability factors for a highperformance microprocessor, Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, p.29, 2003. ,
Razor: circuit-level correction of timing errors for low-power operation, IEEE Micro, vol.24, issue.6, pp.10-20, 2004. ,
DOI : 10.1109/MM.2004.85
Using hardware vulnerability factors to enhance AVF analysis, ACM SIGARCH Computer Architecture News, vol.38, issue.3, pp.461-472, 2010. ,
DOI : 10.1145/1816038.1816023
Statistical Reliability Estimation of Microprocessor-Based Systems, IEEE Transactions on Computers, vol.61, issue.11, pp.61-1521, 2012. ,
DOI : 10.1109/TC.2011.188
Relyzer: exploiting application-level fault equivalence to analyze application resiliency to transient faults, SIGPLAN Not, pp.123-134, 2012. ,
Towards understanding the effects of intermittent hardware faults on programs, 2010 International Conference on Dependable Systems and Networks Workshops (DSN-W), pp.101-106, 2010. ,
DOI : 10.1109/DSNW.2010.5542613
Multicore soft error rate stabilization using adaptive dual modular redundancy, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.27-32, 2010. ,
DOI : 10.1109/DATE.2010.5457242
Accurate microarchitecture-level fault modeling for studying hardware faults, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.105-116, 2009. ,
DOI : 10.1109/HPCA.2009.4798242
Eliminating microarchitectural dependency from Architectural Vulnerability, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.117-128, 2009. ,
DOI : 10.1109/HPCA.2009.4798243
Understanding the propagation of hard errors to software and implications for resilient system design, ACM SIGOPS Operating Systems Review, vol.42, issue.2, pp.265-276, 2008. ,
DOI : 10.1145/1353535.1346315
Static analysis of SEU effects on software applications, Proceedings. International Test Conference, pp.500-508, 2002. ,
DOI : 10.1109/TEST.2002.1041800
Predictive Technology Model for Robust Nanoelectronic Design, 2011. ,
DOI : 10.1007/978-1-4614-0445-3
Fault tree analysis and binary decision diagrams, Proceedings of 1996 Annual Reliability and Maintainability Symposium, pp.215-222, 1996. ,
DOI : 10.1109/RAMS.1996.500665
Dynamic Reliability Block Diagrams VS Dynamic Fault Trees, 2007 Proceedings, Annual Reliability and Maintainability Sympsoium, pp.71-76, 2007. ,
DOI : 10.1109/RAMS.2007.328095
Reliability Markov Chains for Security Data Transmitter Analysis, The Second International Conference on Availability, Reliability and Security (ARES'07), pp.886-894, 2007. ,
DOI : 10.1109/ARES.2007.122
Bayesian networks application in multi-state system reliability analysis, Appl. Mech. Mater, vol.347, pp.2590-2595, 2013. ,
Learning Bayesian networks: the combination of knowledge and statistical data, Mach. Learn, vol.20, issue.3, pp.197-243, 1995. ,
Versatile architecture-level fault injection framework for reliability evaluation: A first report, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS), pp.140-145, 2014. ,
DOI : 10.1109/IOLTS.2014.6873686
MARSS, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.1050-1055, 2011. ,
DOI : 10.1145/2024724.2024954
PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator, 2007 IEEE International Symposium on Performance Analysis of Systems & Software, pp.23-34, 2007. ,
DOI : 10.1109/ISPASS.2007.363733
The Java Virtual Machine Specification, 2013. ,
LLVM: A compilation framework for lifelong program analysis & transformation, International Symposium on Code Generation and Optimization, 2004. CGO 2004., pp.75-86, 2004. ,
DOI : 10.1109/CGO.2004.1281665
Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, 2000. ,
Architecture Design for Soft Errors, 2011. ,
ReStore: Symptom-Based Soft Error Detection in Microprocessors, IEEE Transactions on Dependable and Secure Computing, vol.3, issue.3, pp.188-201, 2006. ,
DOI : 10.1109/TDSC.2006.40
A gate-level simulation environment for alpha-particle-induced transient faults, IEEE Trans. Comput, vol.45, issue.11, pp.1248-1256, 1996. ,
Hierarchical fault simulation using behavioral and gate level hardware models, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)., pp.374-379, 2002. ,
DOI : 10.1109/ATS.2002.1181740
LLFI: an intermediate code level fault injector for soft computing applications, Workshop on Silicon Errors in Logic ? System Effects (SELSE), 2013. ,
Quantifying the Accuracy of High-Level Fault Injection Techniques for Hardware Faults, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pp.375-382, 2014. ,
DOI : 10.1109/DSN.2014.2
Towards Formal Approaches to System Resilience, 2013 IEEE 19th Pacific Rim International Symposium on Dependable Computing, pp.2013-2054 ,
DOI : 10.1109/PRDC.2013.14
The LLVM Compiler Infrastructure ,