M. Abramovici and P. Bradley, Integrated circuit security, Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research Cyber Security and Information Intelligence Challenges and Strategies, CSIIRW '09, pp.1-55
DOI : 10.1145/1558607.1558671

D. Agrawal, S. Baktir, D. Karakoyunlu, P. Rohatgi, and B. Sunar, Trojan Detection using IC Fingerprinting, 2007 IEEE Symposium on Security and Privacy (SP '07), pp.296-310, 2007.
DOI : 10.1109/SP.2007.36

J. Balasch, I. Gierlichs, and . Verbauwhede, Electromagnetic circuit fingerprints for Hardware Trojan detection, 2015 IEEE International Symposium on Electromagnetic Compatibility (EMC), 2015.
DOI : 10.1109/ISEMC.2015.7256167

A. Bhavnagarwala, S. Kosonocky, C. Radens, K. Stawiasz, R. Mann et al., Fluctuation limits & amp; scaling opportunities for CMOS SRAM cells, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.659-662, 2005.
DOI : 10.1109/IEDM.2005.1609437

K. Bowman, C. Tokunaga, J. Tschanz, A. Raychowdhury, M. Khellah et al., Dynamic variation monitor for measuring the impact of voltage droops on microprocessor clock frequency, IEEE Custom Integrated Circuits Conference 2010, pp.1-4, 2010.
DOI : 10.1109/CICC.2010.5617415

Y. Cao, C. Chang, and S. Chen, Cluster-based distributed active current timer for hardware trojan detection, ISCAS, 2013 IEEE International Symposium, pp.1010-1013, 2013.

R. Chakraborty and S. Bhunia, Security Against Hardware Trojan Attacks Using Key-Based Design Obfuscation, Journal of Electronic Testing, vol.3200, issue.3, pp.767-785, 2011.
DOI : 10.1007/s10836-011-5255-2

R. Chakraborty, F. Wolff, S. Paul, C. Papachristou, and S. Bhunia, MERO: A Statistical Approach for Hardware Trojan Detection, CHES 2009, pp.396-410, 2009.
DOI : 10.1007/978-3-642-04138-9_28

F. Courbon, P. Loubet-moundi, J. J. Fournier, and A. Tria, A High Efficiency Hardware Trojan Detection Technique Based on Fast SEM Imaging, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, 2015.
DOI : 10.7873/DATE.2015.1104

A. Ferraiuolo, X. Zhang, and M. Tehranipoor, Experimental analysis of a ring oscillator network for hardware trojan detection in a 90nm ASIC, Proceedings of the International Conference on Computer-Aided Design, ICCAD '12, p.37, 2012.
DOI : 10.1145/2429384.2429392

A. Keshavarzi, G. Schrom, S. Tang, S. Ma, K. Bowman et al., Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage, Proceedings of the 2005 international symposium on Low power electronics and design , ISLPED '05, pp.26-29, 2005.
DOI : 10.1145/1077603.1077611

C. Lamech, R. M. Rad, M. Tehranipoor, and J. Plusquellic, An experimental analysis of power and delay signal-to-noise requirements for detecting trojans and methods for achieving the required detection sensitivities. Information Forensics and Security, IEEE Transactions on, vol.6, issue.3, pp.1170-1179, 2011.

J. Li and J. Lach, At-speed delay characterization for ic authentication and trojan horse detection, HOST 2008, pp.8-14, 2008.

C. Liu and Y. Chang, Floorplan and power/ground network co-synthesis for fast design convergence, Proceedings of the 2006 international symposium on Physical design , ISPD '06, pp.86-93, 2006.
DOI : 10.1145/1123008.1123026

S. Narasimhan, D. Du, R. S. Chakraborty, S. Paul, F. Wolff et al., Multiple-parameter side-channel analysis: A non-invasive hardware Trojan detection approach, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.13-18, 2010.
DOI : 10.1109/HST.2010.5513122

B. Rebaud, M. Belleville, E. Beigné, C. Bernard, M. Robert et al., Timing slack monitoring under process and environmental variations: Application to a DSP performance optimization, Microelectronics Journal, vol.42, issue.5, pp.718-732, 2011.
DOI : 10.1016/j.mejo.2011.02.005

URL : https://hal.archives-ouvertes.fr/lirmm-00607877

S. Mohammad, H. Shekarian, and M. Zamani, A trustdriven placement approach: A new perspective on design for hardware trust, Journal of Circuits, Systems and Computers, issue.0, pp.1550115-1550115

O. Soll, T. Korak, M. Muehlberghuber, and M. Hutter, EM-based detection of hardware trojans on FPGAs, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.84-87
DOI : 10.1109/HST.2014.6855574

F. Stellari, P. Song, and H. A. Ainspan, Functional block extraction for hardware security detection using time-integrated and time-resolved emission measurements, 2014 IEEE 32nd VLSI Test Symposium (VTS), pp.1-6, 2014.
DOI : 10.1109/VTS.2014.6818792

M. Tehranipoor and F. Koushanfar, A survey of hardware trojan taxonomy and detection. Design Test of Computers, IEEE, vol.27, issue.1, pp.10-25, 2010.

K. Xiao and M. Tehranipoor, BISA: Built-in self-authentication for preventing hardware Trojan insertion, 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.45-50, 2013.
DOI : 10.1109/HST.2013.6581564

N. Ngo-xuan-thuy, S. Zakaria, and . Bhasin, Guilley Sylvain, and Danger Jean-luc. Method taking into account process dispersions to detect hardware trojan horse by side-channel, 2015.

X. Zhang and M. Tehranipoor, Ron: An on-chip ring oscillator network for hardware trojan detection, DATE 2011, pp.1-6, 2011.