H. Sasaki, S. Imamura, and K. Inoue, Coordinated power-performance optimization in manycores, Proc. 22nd Int. Conf. Parallel Architect. Compilation Tech. (PACT), pp.51-61, 2013.

T. Ebi, M. A. Faruque, and J. Henkel, TAPE: Thermal-aware agentbased power econom multi/many-core architectures, IEEE/ACM Int. Conf. Comput.-Aided Design Dig. Tech. Papers, pp.302-309, 2009.

G. Kornaros and D. Pnevmatikatos, A survey and taxonomy of on-chip monitoring of multicore systems-on-chip, ACM Trans. Design Autom. Electron. Syst, vol.18, issue.2, p.17, 2013.

S. Bhagavatula and B. Jung, A low power real-time on-chip power sensor in 45-nm SOI, IEEE Trans. Circuits Syst. I, Reg. Papers, vol.59, issue.7, pp.1577-1587, 2012.

S. Bhagavatula and B. Jung, A power sensor with 80ns response time for power management in microprocessors, Proc. IEEE Custom Integr. Circuits Conf. (CICC), pp.1-4, 2013.

A. Pathania, A. E. Irimiea, A. Prakash, and T. Mitra, Powerperformance modelling of mobile gaming workloads on heterogeneous MPSoCs, Proc. 52nd Annu. Design Autom. Conf. (DAC), pp.1-6, 2015.

G. Singla, G. Kaur, A. K. Unver, and U. Y. Ogras, Predictive dynamic thermal and power management for heterogeneous mobile platforms, Proc. Design Autom. Test Europe Conf. Exhibit, pp.960-965, 2015.

S. Eyerman, K. Hoste, and L. Eeckhout, Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware, Proc. IEEE Int. Symp. Perform. Anal. Syst. Softw. (ISPASS), pp.216-226, 2011.

W. Choi, H. Kim, W. Song, J. Song, and J. Kim, ePRO-MP: A tool for profiling and optimizing energy and performance of mobile multiprocessor applications, Sci. Program, vol.17, issue.4, pp.285-294, 2009.

F. Bellosa, A. Weissel, M. Waitz, and S. Kellner, Event-driven energy accounting for dynamic thermal management, Proc. Workshop Compilers Operating Syst. Low Power (COLP), vol.22, pp.1-10, 2003.

C. Isci and M. Martonosi, Runtime power monitoring in high-end processors: Methodology and empirical data, Proc. 36th Annu, pp.93-104, 2013.

M. Pricopi, T. S. Muthukaruppan, V. Venkataramani, T. Mitra, and S. Vishin, Power-performance modeling on asymmetric multi-cores, Proc. Int. Conf. Compilers Architect. Synth. Embedded Syst. (CASES), pp.1-10, 2013.

W. L. Bircher and L. K. John, Complete system power estimation using processor performance events, IEEE Trans. Comput, vol.61, issue.4, pp.563-577, 2012.

E. Senn, J. Laurent, N. Julien, and E. Martin, SoftExplorer: Estimation, characterization, and optimization of the power and energy consumption at the algorithmic level, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, vol.3254, pp.342-351, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00013977

S. K. Rethinagiri, R. B. Atitallah, S. Niar, E. Senn, and J. Dekeyser, Hybrid system level power consumption estimation for FPGA-based MPSoC, Proc. IEEE Int. Conf. Comput. Design VLSI Comput. Process, pp.239-246, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00842401

I. Mansouri, P. Benoit, L. Torres, and F. Clermidy, Fine-grain dynamic energy tracking for system on chip, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol.60, issue.6, pp.356-360, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-00818918

J. Peddersen and S. Parameswaran, CLIPPER: Counter-based low impact processor power estimation at run-time, Proc. Asia South Pac. Design Autom. Conf. (ASP-DAC), pp.890-895, 2007.

R. Zamani and A. Afsahi, A study of hardware performance monitoring counter selection in power modeling of computing systems, Proc. Int. Green Comput. Conf. (IGCC), pp.1-10, 2012.

P. Langley, Selection of relevant features in machine learning, Proc. AAAI Fall Symp. Relevance, vol.184, pp.245-271, 1994.

R. Caruana and D. Freitag, Greedy attribute selection, Proc. 11th Int. Conf. Mach. Learn, pp.28-36, 1994.

L. Xu, P. Yan, and T. Chang, Best first strategy for feature selection, Proc. 9th Int. Conf. Pattern Recognit, pp.706-708, 1988.

Q. Zhu, L. Lin, M. Shyu, and S. Chen, Feature selection using correlation and reliability based scoring metric for video semantic detection, Proc. IEEE 4th Int. Conf. Semantic Comput. (ICSC), pp.462-469, 2010.

J. H. Friedman, Multivariate adaptive regression splines, Ann. Stat, vol.19, issue.1, pp.1-67, 1991.

D. Chen, J. Cong, and Y. Fan, Low-power high-level synthesis for FPGA architectures, Proc. Int. Symp. Low Power Electron. Design (ISLPED), pp.134-139, 2003.

C. Najoua, B. Mohamed, and B. M. Hedi, Accurate dynamic power model for FPGA based implementations, IJCSI Int. J. Comput. Sci, vol.9, issue.2, pp.84-89, 2012.

R. Piscitelli and A. D. Pimentel, A signature-based power model for MPSoC on FPGA, VLSI Design, vol.2012, pp.1-13, 2012.

L. Barthe, L. V. Cargnini, P. Benoit, and L. Torres, The secretBlaze: A configurable and cost-effective open-source soft-core processor, Proc. IEEE Int. Symp. Parallel Distrib. Process. Workshops Phd Forum (IPDPSW), pp.310-313, 2011.

R. Herveille, WISHBONE system-on-chip (SoC) interconnection architecture for portable IP cores, Revision B, vol.3, pp.4-32, 2002.

M. Hall, The WEKA data mining software: An update, SIGKDD Explor. Newslett, vol.11, issue.1, pp.10-18, 2009.

G. Jekabsons, Areslab: Adaptive Regression Splines Toolbox for MATLAB/Octave, 2011.

O. Roeva, S. Fidanova, and M. Paprzycki, Influence of the population size on the genetic algorithm performance in case of cultivation process modelling, Proc. Federated Conf. Comput. Sci, pp.371-376, 2013.

P. Sibi, S. A. Jones, and P. Siddarth, Analysis of different activation functions using back propagation neural networks, J. Theor. Appl. Inf. Technol, vol.47, issue.3, 2013.

N. Ho, P. Kaufmann, and M. Platzner, A hardware/software infrastructure for performance monitoring on LEON3 multicore platforms, Proc. 24th Int. Conf. Field Program. Logic Appl. (FPL), pp.1-4, 2014.