S. D. Carlo, Software-based self-test of set-associative cache memories, IEEE Transactions on Computers, 2011.

C. Lattner and V. Adve, LLVM: A compilation framework for lifelong program analysis & transformation, Proceedings of the International Symposium on Code Generation and Optimization: Feedback-directed and Runtime Optimization, pp.75-86, 2004.

A. Thomas and K. Pattabiraman, LLFI: An intermediate code level fault injector for soft computing applications, 2013.

V. C. Sharma, Towards formal approaches to system resilience, Proceedings of the 19th IEEE Pacific Rim International Symposium on Dependable Computing, pp.41-50, 2013.

M. Kooli, A survey on simulation-based fault injection tools for complex systems, Proceedings of the 9th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01075473

G. A. Kanawati, FERRARI: A flexible software-based fault and error injection system, IEEE Transactions on Computers, 1995.

J. Carreira, Xception: A technique for the experimental evaluation of dependability in modern computers, IEEE Trans. Softw. Eng, 1998.

F. Kaddachi, System-level reliability evaluation through cacheaware software-based fault injection, Proceedings of the 19th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01444721

M. Nicolaidis, Soft errors in modern electronic systems, vol.41, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00564666

J. J. , An accurate single event effect digital design flow for reliable system level design, Design, Automation and Test in, 2012.

M. Ebrahimi, A fast, flexible, and easy-to-develop FPGA-based fault injection technique, Microelectronics Reliability, 2014.

M. Ebrahimi, CLASS: combined logic and architectural soft error sensitivity analysis, Proceedings of 18th Asia and South Pacific Design Automation Conference, ASP-DAC, 2013.

S. S. Mukherjee, A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, Proceedings of the 36th Annual International Symposium on Microarchitecture, MICRO, 2003.

A. Biswas, Computing architectural vulnerability factors for address-based structures, SIGARCH Comput. Archit. News, 2005.

M. Kooli, Cache-and register-aware system reliability evaluation based on data lifetime analysis, Proceedings of the 34th IEEE VLSI Test Symposium, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01374569

M. Kooli, Software testing and software fault injection, Proceedings of 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, DTIS, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01297579

. Mibench,

R. Leveugle, Statistical fault injection: Quantified error and confidence, Design, Automation and Test in, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00386107