L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

P. Magarshack and P. G. Paulin, System-on-chip beyond the nanometer wall, Proceedings of the 40th conference on Design automation , DAC '03, pp.419-424, 2003.
DOI : 10.1145/775832.775943

B. Feero and P. P. Pande, Performance evaluation for three-dimensional networks-on-chip, Proc. IEEE Comput. Soc

. Annu and . Symp, VLSI (ISVLSI), pp.305-310, 2007.

I. Loi, F. Angiolini, S. Fujita, S. Mitra, and L. Benini, Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, issue.1, pp.124-134, 2011.
DOI : 10.1109/TCAD.2010.2065990

F. Clermidy, F. Darve, D. Dutoit, W. Lafi, and P. Vivet, 3D Embedded multi-core: Some perspectives, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763213

N. Aboughazaleh, B. Childers, D. Mossé, and R. Melhem, Integrated CPU Cache Power Management in Multiple Clock Domain Processors, High Performance Embedded Architectures and Compilers, 2008.
DOI : 10.1007/978-3-540-77560-7_15

A. Todri-sanial, A. Bosio, L. Dilillo, P. Girard, and A. Virazel, Fast and accurate electro-thermal analysis of three-dimensional power delivery networks, 2013 14th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE), pp.1-4, 2013.
DOI : 10.1109/EuroSimE.2013.6529956

URL : https://hal.archives-ouvertes.fr/lirmm-00839043

A. Todri, Electro-thermal characterization of through-silicon vias, Proc. 15th Int. Conf. Thermal, Mech. Multi-Phys

X. Zhao, J. Minz, and S. K. Lim, Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs, IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.1, issue.2, pp.247-259, 2011.
DOI : 10.1109/TCPMT.2010.2099590

X. Zhao and S. K. Lim, Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.175-180, 2010.
DOI : 10.1109/ASPDAC.2010.5419900

S. Bang, K. Han, A. B. Kahng, and V. Srinivas, Clock clustering and IO optimization for 3D integration, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), pp.1-8, 2015.
DOI : 10.1109/SLIP.2015.7171709

H. Park and T. Kim, Synthesis of TSV Fault-Tolerant 3-D Clock Trees, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.34, issue.2, pp.266-279, 2015.
DOI : 10.1109/TCAD.2014.2379645

T. Kim and T. Kim, Clock network design techniques for 3D ICs, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2011.
DOI : 10.1109/MWSCAS.2011.6026427

I. Savidis, V. Pavlidis, and E. G. Friedman, Clock distribution models of 3-D integrated systems, 2011 IEEE International Symposium of Circuits and Systems (ISCAS), pp.2225-2228, 2011.
DOI : 10.1109/ISCAS.2011.5938043

C. Lung, Y. Su, H. Huang, Y. Shi, and S. Chang, Through-Silicon Via Fault-Tolerant Clock Networks for 3-D ICs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.7, pp.1100-1109, 2013.
DOI : 10.1109/TCAD.2013.2245375

V. F. Pavlidis, I. Savidis, and E. G. Friedman, Clock Distribution Networks in 3-D Integrated Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.12, pp.2256-2266, 2011.
DOI : 10.1109/TVLSI.2010.2073724

J. S. Pak, PDN impedance modeling and analysis of 3D TSV IC by using proposed P/G TSV array model based on separated P/G TSV and chip-PDN models, IEEE Trans. Compon., Packag., Manuf. Technol, vol.1, issue.2, pp.208-219, 2011.

H. He, J. J. Lu, and X. Gu, Analysis of TSV geometric parameter impact on switching noise in 3D power distribution network, Proc. 25th Annu. SEMI Adv. Semiconductor Manuf. Conf. (ASMC), pp.67-72, 2014.

H. He, J. J. Lu, Z. Xu, and X. Gu, TSV density impact on 3D power delivery with high aspect ratio TSVs, Proc. 24th Annu. SEMI Adv. Semiconductor Manuf. Conf. (ASMC), pp.70-74, 2013.

M. B. Healy and S. K. Lim, A novel TSV topology for many-tier 3D power-delivery networks, 2011 Design, Automation & Test in Europe, pp.1-4, 2011.
DOI : 10.1109/DATE.2011.5763270

N. H. Khan, S. M. Alam, and S. Hassoun, Power Delivery Design for 3-D ICs Using Different Through-Silicon Via (TSV) Technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.4, pp.647-658, 2011.
DOI : 10.1109/TVLSI.2009.2038165

V. F. Pavlidis and G. Micheli, Power distribution paths in 3-D ICS, Proceedings of the 19th ACM Great Lakes symposium on VLSI, GLSVLSI '09, pp.263-268, 2009.
DOI : 10.1145/1531542.1531605

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.167.5643

P. Falkenstern, Y. Xie, Y. Chang, and Y. Wang, Three-dimensional integrated circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.169-174, 2010.
DOI : 10.1109/ASPDAC.2010.5419899

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.612.9478

A. Todri, S. Kundu, P. Girard, A. Bosio, L. Dilillo et al., A Study of Tapered 3-D TSVs for Power and Thermal Integrity, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, issue.2, pp.306-319, 2013.
DOI : 10.1109/TVLSI.2012.2187081

URL : https://hal.archives-ouvertes.fr/lirmm-00806776

A. Todri-sanial, S. Kundu, P. Girard, A. Bosio, L. Dilillo et al., Globally Constrained Locally Optimized 3-D Power Delivery Networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.10, pp.2131-2144, 2014.
DOI : 10.1109/TVLSI.2013.2283800

URL : https://hal.archives-ouvertes.fr/lirmm-01255754

G. Huang, M. S. Bakir, A. Naeemi, and J. D. , Power Delivery for 3-D Chip Stacks: Physical Modeling and Design Implication, IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.2, issue.5, pp.852-859, 2012.
DOI : 10.1109/TCPMT.2012.2185047

H. He and J. J. Lu, Modeling and Analysis of PDN Impedance and Switching Noise in TSV-Based 3-D Integration, IEEE Transactions on Electron Devices, vol.62, issue.4, pp.1241-1247, 2015.
DOI : 10.1109/TED.2015.2396914

H. He, X. Gu, and J. Q. Lu, Modeling of switching noise and coupling in multiple chips of 3D TSV-based systems, 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), pp.548-553, 2014.
DOI : 10.1109/ECTC.2014.6897338

P. Zhou, K. Sridharan, and S. S. Sapatnekar, Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors, 2009 Asia and South Pacific Design Automation Conference, pp.179-184, 2009.
DOI : 10.1109/ASPDAC.2009.4796477

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.152.4675

S. Yao, X. Chen, Y. Wang, Y. Ma, Y. Xie et al., Efficient regionaware P/G TSV planning for 3D ICs, Proc. IEEE 15th Int. Symp. Quality Electron. Design (ISQED), pp.171-178, 2014.

A. Todri and M. Marek-sadowska, Power Delivery for Multicore Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.12, pp.2243-2255, 2011.
DOI : 10.1109/TVLSI.2010.2080694

URL : https://hal.archives-ouvertes.fr/lirmm-01248575

M. Saint-laurent and M. Swaminathan, Impact of Power-Supply Noise on Timing in High-Frequency Microprocessors, IEEE Transactions on Advanced Packaging, vol.27, issue.1, pp.135-144, 2004.
DOI : 10.1109/TADVP.2004.825480

J. Singh and S. S. Sapatnekar, Congestion-aware topology optimization of structured power/ground networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.5, pp.683-695, 2005.
DOI : 10.1109/TCAD.2005.846369

X. Hu, Y. Xu, Y. Hu, and Y. Xie, SwimmingLane: A composite approach to mitigate voltage droop effects in 3D power delivery network, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.550-555, 2014.
DOI : 10.1109/ASPDAC.2014.6742949

J. Xie, Electrical-thermal co-analysis for power delivery networks in 3D system integration, 2009 IEEE International Conference on 3D System Integration, pp.1-4, 2009.
DOI : 10.1109/3DIC.2009.5306525

J. Xie and M. Swaminathan, Simulation of power delivery networks with Joule heating effects for 3D integration, 3rd Electronics System Integration Technology Conference ESTC, pp.1-6, 2010.
DOI : 10.1109/ESTC.2010.5642927

S. L. Wright, Characterization of Micro-Bump C4 Interconnects for Si-Carrier SOP Applications, 56th Electronic Components and Technology Conference 2006, pp.633-640, 2006.
DOI : 10.1109/ECTC.2006.1645716

C. Fuchs, Process and RF modelling of TSV last approach for 3D RF interposer, 2011 IEEE International Interconnect Technology Conference, pp.1-3, 2011.
DOI : 10.1109/IITC.2011.5940301

A. Todri, M. Marek-sadowska, and J. Kozhaya, Power supply noise aware workload assignment for multi-core systems, 2008 IEEE/ACM International Conference on Computer-Aided Design, pp.330-337, 2008.
DOI : 10.1109/ICCAD.2008.4681594

R. Jakushokas, M. Popovich, A. V. Mezhiba, S. Köse, and E. G. Friedman, Power Distribution Networks With On-Chip Decoupling Capacitors, 2011.
DOI : 10.1007/978-1-4419-7871-4

Y. Cheng, A novel method to mitigate TSV electromigration for 3D ICs, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.121-126, 2013.
DOI : 10.1109/ISVLSI.2013.6654633

URL : https://hal.archives-ouvertes.fr/lirmm-01248617

A. Jain, R. E. Jones, R. Chatterjee, S. Pozder, and Z. Huang, Thermal modeling and design of 3D integrated circuits, 2008 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, pp.1139-1145, 2008.
DOI : 10.1109/ITHERM.2008.4544389

C. Ho, A. E. Ruehli, and P. A. Brennan, The modified nodal approach to network analysis, IEEE Trans. Circuits Syst, vol.22, issue.6, pp.504-509, 1975.

M. Zhao, R. V. Panda, S. S. Sapatnekar, and D. Blaauw, Hierarchical analysis of power distribution networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, issue.2, pp.159-168, 2002.
DOI : 10.1109/43.980256

M. Sotman, A. Kolodny, M. Popovich, and E. G. Friedman, On-die Decoupling Capacitance: Frequency Domain Analysis of Activity Radius, 2006 IEEE International Symposium on Circuits and Systems, pp.492-496, 2006.
DOI : 10.1109/ISCAS.2006.1692629

M. Popovich, M. Sotman, A. Kolodny, and E. Friedman, Effective Radii of On-Chip Decoupling Capacitors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, issue.7, pp.894-907, 2008.
DOI : 10.1109/TVLSI.2008.2000454

L. D. Smith, R. E. Anderson, and T. Roy, Chip-package resonance in core power supply structures for a high power microprocessor, Proc. IPACK, pp.1-6, 2001.

Y. Chen, E. Kursun, D. Motschman, C. Johnson, and Y. Xie, International Technology Roadmap for Semiconductors Available: http://www.itrs.net/LINKS Analysis and mitigation of lateral thermal blockage effect of through-siliconvia in 3D IC designs, Proc. Int. Symp. Low Power Electron. Design (ISLPED), pp.397-402, 2011.