N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner et al., Leakage current: Moore's law meets static power, IEEE Computer, vol.36, issue.12, pp.68-75, 2003.

M. B. Taylor, A Landscape of the New Dark Silicon Design Regime, IEEE Micro, vol.33, issue.5, pp.8-19, 2013.
DOI : 10.1109/MM.2013.90

H. Esmaeilzadeh, E. Blem, R. S. Amant, K. Sankaralingam, and D. Burger, Dark silicon and the end of multicore scaling, Proc. IEEE/ACM International Symposium on Computer Architecture (IS- CA'11), pp.365-376, 2011.

J. Li, P. Ndai, A. Goel, S. Salahuddin, and K. Roy, Design Paradigm for Robust Spin-Torque Transfer Magnetic RAM (STT MRAM) From Circuit/Architecture Perspective, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.18, issue.12, pp.1710-1723, 2010.
DOI : 10.1109/TVLSI.2009.2027907

W. Zhao and G. Prenat, Spintronics-based Computing, 2015.
DOI : 10.1007/978-3-319-15180-9

L. Faber, W. Zhao, J. Klein, T. Devolder, and C. Chappert, Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ), 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era, pp.130-135, 2009.
DOI : 10.1109/DTIS.2009.4938040

L. Su, Y. Zhang, J. Klein, Y. Zhang, A. Bournel et al., Current-limiting challenges for all-spin logic devices, Scientific Reports, vol.98, p.14905, 2015.
DOI : 10.1038/srep14905

URL : http://doi.org/10.1038/srep14905

J. Kim, K. Ryu, S. H. Kang, and S. Jung, A Novel Sensing Circuit for Deep Submicron Spin Transfer Torque MRAM (STT-MRAM), IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.20, issue.1, pp.181-186, 2012.
DOI : 10.1109/TVLSI.2010.2088143

H. Noguchi, K. Ikegami, K. Kushida, K. Abe, S. Itai et al., A 3.3ns- access-time 71.2µw/mhz 1mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture, Proc. IEEE International Solid-State Circuits Conference (ISSC- C'15), pp.22-26

Z. Sun, X. Bi, H. Li, W. Wong, and X. Zhu, STT-RAM Cache Hierarchy With Multiretention MTJ Designs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.6, pp.1281-1293, 2014.
DOI : 10.1109/TVLSI.2013.2267754

R. Wang, L. Jiang, Y. Zhang, L. Wang, and J. Yang, Selective restore, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, pp.1-6, 2015.
DOI : 10.1145/2744769.2744908

Z. Pajouhi, X. Fong, and K. Roy, Device/Circuit/Architecture Co-Design of Reliable STT-MRAM, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, pp.1437-1442, 2015.
DOI : 10.7873/DATE.2015.0145

Y. Lu, T. Zhong, W. Hsu, S. Kim, X. Lu et al., Fully functional perpendicular STT-MRAM macro embedded in 40 nm logic for energy-efficient IOT applications, 2015 IEEE International Electron Devices Meeting (IEDM), pp.26-27, 2015.
DOI : 10.1109/IEDM.2015.7409770

W. Kang, L. Zhang, J. Klein, Y. Zhang, D. Ravelosona et al., Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology, IEEE Transactions on Electron Devices, vol.62, issue.6, pp.1769-1777, 2015.
DOI : 10.1109/TED.2015.2412960

A. Vatankhahghadim, W. Song, and A. Sheikholeslami, A Variation-Tolerant MRAM-Backed-SRAM Cell for a Nonvolatile Dynamically Reconfigurable FPGA, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.62, issue.6, pp.573-577, 2015.
DOI : 10.1109/TCSII.2015.2407711

J. Li, H. Liu, S. Salahuddin, and K. Roy, Variation-tolerant spintorque transfer (STT) MRAM array for yield enhancement, Proc. IEEE Custom Integrated Circuits Conference (CICC'08), pp.193-196, 2008.
DOI : 10.1109/cicc.2008.4672056

K. Lee and S. H. Kang, Design Consideration of Magnetic Tunnel Junctions for Reliable High-Temperature Operation of STT-MRAM, IEEE Transactions on Magnetics, vol.46, issue.6, pp.1537-1540, 2010.
DOI : 10.1109/TMAG.2010.2043645

C. H. Shang, J. Nowak, R. Jansen, and J. S. Moodera, Temperature dependence of magnetoresistance and surface magnetization in ferromagnetic tunnel junctions, Physical Review B, vol.58, issue.6, pp.2917-2920, 1998.
DOI : 10.1103/PhysRevB.58.R2917

T. Hagler, R. Kinder, and G. Bayreuther, Temperature dependence of tunnel magnetoresistance, Journal of Applied Physics, vol.89, issue.11, p.7570, 2001.
DOI : 10.1063/1.1359229

T. Kishi, H. Yoda, T. Kai, T. Nagase, E. Kitagawa et al., Lower-current and fast switching of a perpendicular TMR for high speed and high density spin-transfer-torque MRAM, 2008 IEEE International Electron Devices Meeting, pp.1-4, 2008.
DOI : 10.1109/IEDM.2008.4796680

C. J. Lin, S. H. Kang, Y. J. Wang, K. Lee, X. Zhu et al., 45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell, 2009 IEEE International Electron Devices Meeting (IEDM), pp.256-259, 2009.
DOI : 10.1109/IEDM.2009.5424368

S. Ikeda, H. Sato, H. Honjo, E. C. Enobio, S. Ishikawa et al., Perpendicular-anisotropy CoFeB-MgO based magnetic tunnel junctions scaling down to 1X nm, 2014 IEEE International Electron Devices Meeting, pp.33-35, 2014.
DOI : 10.1109/IEDM.2014.7047160

G. Jeong, C. Wooyoung, S. Ahn, J. Hongsik, G. Koh et al., A 0.24-??m 2.0-V 1T1MTTJ 16-kb nonvolatile magnetoresistance RAM with self-reference sensing scheme, IEEE Journal of Solid-State Circuits, vol.38, issue.11, pp.1906-1910, 2003.
DOI : 10.1109/JSSC.2003.818145

URL : https://hal.archives-ouvertes.fr/in2p3-00001884

T. W. Andre, J. J. Nahas, C. K. Subramanian, B. J. Garni, H. S. Lin et al., A 4-Mb 0.18-/spl mu/m 1T1MTJ toggle MRAM with balanced three input sensing scheme and locally mirrored unidirectional write drivers, IEEE Journal of Solid-State Circuits, vol.40, issue.1, pp.301-309, 2005.
DOI : 10.1109/JSSC.2004.837962

K. Skadron, M. R. Stan, W. Huang, V. Sivakumar, S. Karthik et al., Temperature-aware microarchitecture, Proc. IEEE/ACM International Symposium on Computer Architecture (ISCA'03), pp.2-13, 2003.
DOI : 10.1145/980152.980157

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.135.9559

A. Raychowdhury, D. Somasekhar, T. Karnik, and V. De, Design space and scalability exploration of 1T-1STT MTJ memory arrays in the presence of variability and disturbances, 2009 IEEE International Electron Devices Meeting (IEDM), pp.1-4, 2009.
DOI : 10.1109/IEDM.2009.5424242

W. Guo, G. Prenat, V. Javerliac, M. E. Baraji, N. De-mestier et al., SPICE modelling of magnetic tunnel junctions written by spin-transfer torque, Journal of Physics D: Applied Physics, vol.43, issue.21, p.215001, 2010.
DOI : 10.1088/0022-3727/43/21/215001

URL : https://hal.archives-ouvertes.fr/hal-00569612

W. Zhao, J. Duval, J. O. Klein, and C. Chappert, A compact model for magnetic tunnel junction (MTJ) switched by thermally assisted Spin transfer torque (TAS + STT), Nanoscale Research Letters, vol.6, issue.1, p.368, 2011.
DOI : 10.1063/1.1667413

Y. Wang, Y. Zhang, E. Y. Deng, J. O. Klein, L. A. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectronics Reliability, vol.54, issue.9-10, pp.9-10, 2014.
DOI : 10.1016/j.microrel.2014.07.019

URL : https://hal.archives-ouvertes.fr/hal-01216414

X. Fong, S. H. Choday, P. Georgios, C. Augustine, K. R. Zhang et al., Purdue nanoelectronics research laboratory magnetic tunnel junction model Available: https://nanohub.org [31 Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions, IEEE Trans. Electron Devices, vol.59, issue.3, pp.819-826, 2012.

S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan et al., A perpendicular-anisotropy CoFeB???MgO magnetic tunnel junction, Nature Materials, vol.92, issue.9, pp.721-724, 2010.
DOI : 10.1038/nmat2804

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.308.162

T. L. Gilbert, Classics in Magnetics A Phenomenological Theory of Damping in Ferromagnetic Materials, IEEE Transactions on Magnetics, vol.40, issue.6, pp.3443-3449, 2004.
DOI : 10.1109/TMAG.2004.836740

W. Zhao, C. Chappert, V. Javerliac, and J. P. Noziere, High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits, IEEE Transactions on Magnetics, vol.45, issue.10, pp.3784-3787, 2009.
DOI : 10.1109/TMAG.2009.2024325

X. Dong, X. Wu, G. Sun, Y. Xie, H. Li et al., edu [36] Cadence. Spectre circuit simulator Available: http://www Circuit and microarchitecture evaluation of 3D stacking magnetic ram MRAM as a universal memory replacement, Predictive technology model Proc. IEEE/ACM Design Automation Conference (DAC'08), pp.554-559, 2008.

J. Z. Sun, Spin-current interaction with a monodomain magnetic body: A model study, Physical Review B, vol.62, issue.1, p.570, 2000.
DOI : 10.1103/PhysRevB.62.570

Y. Shang, W. Fei, and H. Yu, Fast simulation of hybrid CMOS and STT-MTJ circuits with identified internal state variables, 17th Asia and South Pacific Design Automation Conference, pp.529-534, 2012.
DOI : 10.1109/ASPDAC.2012.6165009

J. Kim, K. Ryu, J. P. Kim, S. H. Kang, and S. Jung, STT-MRAM Sensing Circuit With Self-Body Biasing in Deep Submicron Technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.7, pp.1630-1634, 2014.
DOI : 10.1109/TVLSI.2013.2272587

X. Bi, H. Li, and X. Wang, STT-RAM Cell Design Considering CMOS and MTJ Temperature Dependence, IEEE Transactions on Magnetics, vol.48, issue.11, pp.3821-3824, 2012.
DOI : 10.1109/TMAG.2012.2200469

B. Wu-received-his, B. S. , and M. S. , He is persuing Ph.D. degree of Electrical Engineering at Beihang University. His research interests include circuit level design and optimization of STT-RAM, STT-RAM reliability analysis and improvement