W. Xu, H. Sun, X. Y. Wang, T. Chen, and . Zhang, Design of Last-Level On-Chip Cache Using Spin-Torque Transfer RAM (STT RAM) " , Very Large Scale Integrated Systems, IEEE Transactions, vol.19, issue.3, pp.483-493, 2011.

X. Wu, Hybrid cache architecture with disparate memory technologies, ACM SIGARCH Comput. Architect. News, vol.37, issue.3, p.3445, 2009.
DOI : 10.1145/1555754.1555761

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.463.8280

X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie, Power and performance of read-write aware hybrid caches with non-volatile memories, IEEE Design Test Eur. Conf. Exhibit, p.737742, 2009.

P. Zhou, B. Zhao, J. Yang, and Y. Zhang, Energy reduction for STT-RAM using early write termination, Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD '09, pp.264-268, 2009.
DOI : 10.1145/1687399.1687448

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.156.7159

K. Kwon, S. H. Choday, Y. Kim, and K. Roy, AWARE (Asymmetric Write Architecture With REdundant Blocks): A High Write Speed STT-MRAM Cache Architecture, Very Large Scale Integration (VLSI) Systems, pp.712-720, 2014.
DOI : 10.1109/TVLSI.2013.2256945

J. Wang, X. Dong, and Y. Xie, OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, p.847852, 2013.
DOI : 10.7873/DATE.2013.179

W. Cheng, Architecture and data migration methodology for L1 cache design with hybrid SRAM and volatile STT-RAM configuration, Microprocessors and Microsystems, vol.42, 2015.
DOI : 10.1016/j.micpro.2015.11.005

A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan et al., Cache revive, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.243-252, 2012.
DOI : 10.1145/2228360.2228406

X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-volatile Memory, Computer-Aided Design of Integrated Circuits and Systems IEEE Transactions on, vol.31, issue.7b, pp.994-1007
DOI : 10.1007/978-1-4419-9551-3_2

N. Fig, B. Binkert, G. Beckmann, S. K. Black, A. Reinhardt et al., Energy consumption repartition for Canneal benchmark core (b) 2 cores (c) 4 cores at 1 GHz [10 The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.17, issue.39 2, p.17, 2011.

S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen et al., McPAT, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, p.469480, 2009.
DOI : 10.1145/1669112.1669172

J. Singh-meena, S. M. Sze, U. Chand, and T. Tseng, Overview of emerging nonvolatile memory technologies, Nanoscale Res. Lett, vol.9, issue.1, p.133, 2014.

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, Cacti 6.0: A tool to model large caches, p.2231, 2009.