J. Carballo, W. J. Chan, P. A. Gargini, A. B. Kahng, and S. Nath, ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap, 2014 IEEE 32nd International Conference on Computer Design (ICCD), pp.139-146, 2014.
DOI : 10.1109/ICCD.2014.6974673

Z. Or-bach, Dark silicon ? are the dark days coming, News & Analysis. EE Times, 2015.

L. Jiang, W. Wen, D. Wang, and L. Duan, Improving read performance of STT-MRAM based main memories through Smash Read and Flexible Read, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), pp.31-36, 2016.
DOI : 10.1109/ASPDAC.2016.7427985

K. Ikegami, H. Noguchi, C. Kamata, M. Amano, K. Abe et al., Low power and high density STT-MRAM for embedded cache memory using advanced perpendicular MTJ integrations and asymmetric compensation techniques, 2014 IEEE International Electron Devices Meeting, pp.28-29, 2014.
DOI : 10.1109/IEDM.2014.7047123

D. Chabi, W. Zhao, E. Deng, Y. Zhang, N. B. Romdhane et al., Ultra Low Power Magnetic Flip-Flop Based on Checkpointing/Power Gating and Self-Enable Mechanisms, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.6, pp.1755-1765, 2014.
DOI : 10.1109/TCSI.2013.2295026

J. J. Nowak, R. P. Robertazzi, J. Z. Sun, G. Hu, J. Park et al., Dependence of voltage and size on write error rates in spintransfer torque magnetic random-access memory, IEEE MAGNETICS LETTERS, vol.7, 2016.

C. Santifort, Amber arm-compatible core, OpenCores. org, 2010.

L. Barthe, L. V. Cargnini, P. Benoit, and L. Torres, The SecretBlaze: A Configurable and Cost-Effective Open-Source Soft-Core Processor, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum, pp.310-313, 2011.
DOI : 10.1109/IPDPS.2011.154

B. Jovanovic, R. M. Brum, and L. Torres, Comparative Analysis of MTJ/CMOS Hybrid Cells Based on TAS and In-Plane STT Magnetic Tunnel Junctions, IEEE Transactions on Magnetics, vol.51, issue.2, pp.1-11, 2015.
DOI : 10.1109/TMAG.2014.2347009

URL : https://hal.archives-ouvertes.fr/lirmm-01284619

I. Wali, A. Virazel, A. Bosio, P. Girard, S. Pravossoudovitch et al., A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores, Journal of Electronic Testing, vol.59, issue.6, pp.1-15, 2016.
DOI : 10.1109/TNS.2012.2223715

URL : https://hal.archives-ouvertes.fr/lirmm-01354746

X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.31, issue.7, pp.994-1007, 2012.

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, Cacti 6.0: A tool to model large caches, HP Laboratories, pp.22-31, 2009.

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen et al., McPAT, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.469-480, 2009.
DOI : 10.1145/1669112.1669172

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The PARSEC benchmark suite, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.72-81, 2008.
DOI : 10.1145/1454115.1454128

N. Sakimura, Y. Tsuji, R. Nebashi, H. Honjo, A. Morioka et al., 10.5 A 90nm 20MHz fully nonvolatile microcontroller for standby-power-critical applications, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.184-185, 2014.
DOI : 10.1109/ISSCC.2014.6757392

C. Layer, L. Becker, K. Jabeur, S. Claireux, B. Dieny et al., Reducing System Power Consumption Using Check-Pointing on Nonvolatile Embedded Magnetic Random Access Memories, ACM Journal on Emerging Technologies in Computing Systems, vol.12, issue.4, p.32, 2016.
DOI : 10.1109/TIT.1977.1055714

S. Takeda, H. Noguchi, K. Nomura, S. Fujita, S. Miwa et al., Low-power cache memory with state-ofthe-art stt-mram for high-performance processors, 2015 International SoC Design Conference (ISOCC). IEEE, pp.153-154, 2015.
DOI : 10.1109/isocc.2015.7401759

R. Patel, X. Guo, Q. Guo, E. Ipek, and E. G. Friedman, Reducing Switching Latency and Energy in STT-MRAM Caches With Field-Assisted Writing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.129-138, 2016.
DOI : 10.1109/TVLSI.2015.2401577