E. The, . Combinational-benchmark, and . Suite, Multi-output PLA benchmarks

L. Amarù, P. E. Gaillardon, A. Burg, and G. De-micheli, Data compression via logic synthesis, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), pp.628-661, 2014.
DOI : 10.1109/ASPDAC.2014.6742961

B. Logic, S. , V. Group, and C. Berkeley, ABC: A System for Sequential Synthesis and Verification

R. K. Brayton, G. D. Hachtel, C. T. Mcmullen, S. Vincentelli, and A. L. , Logic Minimization Algorithms for VLSI Synthesis, Kluwer Academic, vol.2, 1984.
DOI : 10.1007/978-1-4613-2821-6

K. Chang, V. Bertacco, I. L. Markov, and A. Mishchenko, Logic synthesis and circuit customization using extensive external don't-cares, ACM Transactions on Design Automation of Electronic Systems, vol.15, issue.3, pp.1-24, 2010.
DOI : 10.1145/1754405.1754411

URL : http://www.eecs.umich.edu/%7Eimarkov/pubs/jour/todaes10-swede.pdf

C. Condrat, P. Kalla, and S. Blair, Logic synthesis for integrated optics, Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI, GLSVLSI '11, pp.13-18, 2011.
DOI : 10.1145/1973009.1973013

URL : http://www.ece.utah.edu/~kalla/papers/GLSVLSI2011_logic_synthesis_for_integrated_optics_p13.pdf

O. Coudert, Two-level logic minimization: an overview, Integration, the VLSI Journal, vol.17, issue.2, pp.97-140, 1994.
DOI : 10.1016/0167-9260(94)00007-7

O. Coudert, J. C. Madre, H. Fraisse, and H. Touati, Implicit prime cover computation: An overview, Proceedings of the Synthesis And Simulation Meeting and International Interchange, 1993.

N. Eén and N. Sörensson, An extensible SAT-solver, Proceedings of the International Conference on Theory and Applications of Satisfiability Testing, pp.502-520, 2003.

A. Ghosh, S. Devadas, and A. R. Newton, Test generation and verification for highly sequential circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.10, issue.5, pp.652-67, 1991.
DOI : 10.1109/43.79502

A. F. Gobi and W. Pedrycz, Fuzzy modelling through logic optimization, International Journal of Approximate Reasoning, vol.45, issue.3, pp.488-510, 2007.
DOI : 10.1016/j.ijar.2006.06.026

URL : https://doi.org/10.1016/j.ijar.2006.06.026

J. H. Jiang, C. C. Lee, A. Mishchenko, and C. Y. Huang, To SAT or Not to SAT: Scalable Exploration of Functional Dependency, IEEE Transactions on Computers, vol.59, issue.4, pp.457-67, 2010.
DOI : 10.1109/TC.2010.12

D. E. Knuth, Fascicle 6: Satisfiability, The Art of Computer Programming, 2015.

V. N. Kravets, Application of a Key???Value Paradigm to Logic Factoring, Proceedings of the IEEE, vol.103, issue.11, pp.2076-92, 2015.
DOI : 10.1109/JPROC.2015.2480891

R. R. Lee, J. H. Jiang, and W. L. Hung, Bi-decomposing large Boolean functions via interpolation and satisfiability solving, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.636-677, 2008.
DOI : 10.1145/1391469.1391634

URL : http://www.csie.ntu.edu.tw/~b92003/Thesis_%A7%F5%B7%E7%BAa.pdf

H. P. Lin, J. H. Jiang, and R. R. Lee, To SAT or not to SAT: Ashenhurst decomposition in a large scale, Proceedings of the International Conference on Computer Aided Design, pp.32-37

E. J. Mccluskey, Minimization of Boolean functions. Bell System Tech, Journal, vol.35, issue.6, pp.1417-1461, 1956.

K. L. Mcmillan, Interpolation and SAT-Based Model Checking, Proceedings of the International Conference on Computer Aided Verification, pp.1-13, 2003.
DOI : 10.1007/978-3-540-45069-6_1

S. Minato, Fast generation of irredundant sum-of-products forms from binary decision diagrams, Proceedings of Synthesis And Simulation Meeting and International Interchange, pp.64-73, 1992.

A. Mishchenko, R. Brayton, S. Jang, and V. N. Kravets, Delay optimization using SOP balancing, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.375-82
DOI : 10.1109/ICCAD.2011.6105357

URL : http://www.eecs.berkeley.edu/%7Ealanmi/publications/2011/dac11_sop.pdf

A. Mishchenko and R. K. Brayton, SAT-Based Complete Don't-Care Computation for Network Optimization, Design, Automation and Test in Europe, pp.412-429, 2005.
DOI : 10.1109/DATE.2005.264

URL : https://hal.archives-ouvertes.fr/hal-00181549

A. Morgado and J. P. Silva, Good learning and implicit model enumeration, 17th IEEE International Conference on Tools with Artificial Intelligence (ICTAI'05), pp.131-167, 2005.
DOI : 10.1109/ICTAI.2005.69

URL : http://eprints.soton.ac.uk/261994/1/jpms-ictai05a.pdf

A. Nadel, Generating Diverse Solutions in SAT, Proceedings of the International Conference on Theory and Applications of Satisfiability Testing, pp.287-301, 2011.
DOI : 10.1007/978-3-540-72788-0_28

URL : http://www.cs.tau.ac.il/research/alexander.nadel/multiple_cex.pdf

A. Nadel and V. Ryvchin, Bit-Vector Optimization, Proceedings of the 22nd International Conference on Tools and Algorithms for the Construction and Analysis of Systems, pp.851-67, 2016.
DOI : 10.1007/978-3-662-49674-9_53

A. Petkovska, A. Mishchenko, M. Soeken, G. De-micheli, R. Brayton et al., FAst generation of lexicographic satisfiable assignments, Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD '16, 2016.
DOI : 10.1109/TCAD.2004.823348

J. Rajski and J. Vasudevamurthy, The testability-preserving concurrent decomposition and factorization of Boolean expressions, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.11, issue.6, pp.778-93, 1992.
DOI : 10.1109/43.137523

R. L. Rudell and A. L. Sangiovanni-vincentelli, Multiple-Valued Minimization for PLA Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.6, issue.5, pp.727-50, 1987.
DOI : 10.1109/TCAD.1987.1270318

S. Sapra, M. Theobald, and E. M. Clarke, SAT-based algorithms for logic minimization, Proceedings 21st International Conference on Computer Design, p.510, 2003.
DOI : 10.1109/ICCD.2003.1240948

G. S. Tseitin, On the complexity of derivation in propositional calculus Automation of Reasoning 2: Classical Papers on Computational Logic, pp.466-83, 1967.

A. K. Verma, P. Brisk, and P. Ienne, Iterative layering, Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD '09, pp.797-804, 2009.
DOI : 10.1145/1687399.1687547

J. Yuan, A. Aziz, C. Pixley, and K. Albin, Simplifying Boolean Constraint Solving for Random Simulation-Vector Generation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.3, pp.412-432, 2004.
DOI : 10.1109/TCAD.2004.823348