W. Zhao, Synchronous non-volatile logic gate design based on resistive switching memories, IEEE TCAS I, vol.61, issue.2, pp.443-454, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01743999

W. Kang, Variation-tolerant and disturbance-free sensing circuit for deep nanometer stt-mram, IEEE TNANO, vol.13, issue.6, pp.1088-1092, 2014.

, A low-cost built-in error correction circuit design for stt-mram reliability improvement, Microelectronics Reliability, vol.53, pp.1224-1229, 2013.

Y. Zhang, Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions, IEEE TED, vol.59, issue.3, pp.819-826, 2012.

X. Zhang, Exploring potentials of perpendicular magnetic anisotropy stt-mram for cache design, IEEE ICSICT, pp.893-895, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01248593

Y. Zhang, Read performance: The newest barrier in scaled STT-RAM, IEEE TVLSI, 2014.

Y. Chen, Probabilistic design in spintronic memory and logic circuit, Proc. of ASP-DAC, pp.323-328, 2012.

Y. Shang, Fast simulation of hybrid cmos and stt-mtj circuits with identified internal state variables, Proc. of ASP-DAC, pp.529-534, 2012.

J. Kim, STT-MRAM sensing circuit with self-body biasing in deep submicron technologies, IEEE TVLSI, vol.22, issue.7, pp.1630-1634, 2014.

J. J. Kan, Low-temperature magnetic characterization of optimum and etch-damaged in-plane magnetic tunnel junctions, JAP, vol.114, issue.11, p.114506, 2013.