S. Borkar and A. Chien, The future of microprocessors, vol.54, pp.46-53, 2011.

G. Martin, Overview of the MPSoC design challenge, Proc. the 43rd Design Automation Conference, pp.274-279, 2006.

A. Todri-sanial and C. S. Tan, Physical Design for 3D Integrated Circuits, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01444988

J. Tendler, J. Dodson, J. Fields, H. Le, and B. Sinharoy, POWER4 system microarchitecture, IBM Journal of Research and Development, vol.46, issue.1, pp.5-25, 2010.

A. Todri, M. Marek-sadowska, and J. Kozhaya, Power supply noise aware workload assignment for multi-core systems, Proc. the 27th, pp.330-337, 2008.
URL : https://hal.archives-ouvertes.fr/lirmm-01973860

Y. Wang, J. Xu, and Y. Xu, Power Gating Aware Task Scheduling in MPSoC, IEEE Transactions on Very Large Scale Integration Systems, vol.19, pp.1801-1812, 2011.

G. Huang, M. Bakir, A. Naeemi, H. Chen, and J. Meindl, Power delivery for 3D chip stacks: Physical modeling and design implication, Proc. the 16th IEEE Topical Meeting on Electrical Performance of Electronic Packaging and Systems, pp.205-208, 2007.

M. Sabry, A. Sridhar, D. Atienza, Y. Temiz, Y. Leblebici et al., Towards thermally-aware design of 3D

, MPSoCs with inter-tier cooling, Proc. the 14rh Design, Automation and Test in Europe Conference and Exhibition, pp.1466-1471, 2011.

H. Kufluoglu and M. A. Alam, A unified modeling of NBTI and hot carrier injection for MOSFET reliability, Proc. the 7th

X. Zhou, J. Yang, Y. Xu, Y. Zhang, and J. Zhao, Thermal-Aware Task Scheduling for 3D

, Multicore Processors. IEEE Transactions on Parallel & Distributed Systems, vol.21, pp.60-71, 2010.

W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron et al., IEEE Transactions on Very Large Scale Integration Systems, vol.14, issue.5, pp.501-513, 2006.

R. Dick, D. Rhodes, and W. Wolf, TGFF: task graphs for free, Proc. the 15th International Hardware/Software Codesign and System Synthesis, pp.97-101, 1998.

Z. Xu, X. Gu, M. Scheuermann, K. Rose, B. Webb et al.,

, Modeling of power delivery into 3D chips on silicon interposer, Proc. the 62nd IEEE Electronic Components and Technology Conference, pp.683-689, 2012.

R. Zhang, K. Wang, B. Meyer, M. Stan, and K. Skadron, Architecture implications of pads as a scarce resource, Proc. the 41st International Symposium on Computer Architecuture, pp.373-384, 2014.

D. Brooks, V. Tiwari, M. Martonosi, and . Wattch, A Framework for Architectural-Level Power Analysis and Optimizations, Proc. the 27th IEEE/ACM International Symposium on Computer Architecture, pp.83-94, 2000.

T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, Automatically characterizing large scale program behavior, Proc. the 7th IEEE/ACM International Conference on Architectural Support for Programming Languages and Operating Systems, pp.45-57, 2002.

Y. Cheng, A. Todri-sanial, A. Bosio, L. Dilillo, P. Girard et al., Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration, Proc. the 19th IEEE/ACM Asia and South Pacific Design Automation Conference, pp.544-549, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01248596

M. Gupta, J. Oatley, J. R. Wei, G. Brooks, and D. , Understanding voltage variations in chip multiprocessors using a distributed power-delivery network

, Proc. the 10th Design, Automation and Test in Europe Conference and Exhibition, pp.624-629, 2007.

S. Nassif and J. Kozhaya, Fast Power Grid Simulation, Proc. the 37th Design Automation Conference, pp.156-161, 2000.

H. Su, F. Liu, A. Devgan, E. Acar, and S. Nassif, Full chip leakage estimation considering power supply and temperature variations

, Proc. the 12nd International Symposium on Low Power Electronics and Design, pp.78-83, 2007.

T. Chen and C. P. Chen, Efficient large-scale power grid analysis based on preconditioned krylov-subspace iterative methods, the 38th Design Automation Conference, pp.559-562, 2001.

F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan et al., Design and Management of 3D Chip Multiprocessors Using Network-in-Memory, Proc. the 33rd International Symposium on Computer Architecture, pp.130-141, 2006.

Y. Kwok and I. Ahmad, Static Task Scheduling And Allocation Algorithms For Scalable Parallel And Distributed Systems: Classification And Performance Comparison, Annual Review Of Scalable Computing, pp.107-227, 2015.

J. Choi, C. Cher, H. Franke, H. Hamann, A. Weger et al., Thermal-aware task scheduling at the system software level, Proc. the 12nd International Symposium on Low Power Electronics and Design, pp.213-218, 2007.

L. Huang, F. Yuan, and Q. Xu, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, Proc. the 12nd Design, Automation & Test in Europe Conference and Exhibition, pp.51-56, 2009.

Y. Cheng, L. Zhang, Y. Han, and X. Li, Thermalconstrained task allocation for interconnect energy reduction in 3-D homogeneous MPSoCs, IEEE Transactions on Very Large Scale Integration Systems, vol.21, issue.2, pp.239-249, 2013.

J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan et al.,

M. , D. G. Lindemann, J. Gries, and M. ,

T. , H. K. Lund-larsen, T. Steibl, S. Borkar, S. De et al., A 48-Core IA-32 messagepassing processor with DVFS in 45nm

, Proc. the 57th International Solid-State Circuits Conference, pp.108-109, 2010.

L. Cadix, M. Rousseau, C. Fuchs, P. Leduc, A. Thuaire et al., Integration and frequency dependent electrical modeling of Through Silicon Vias (TSV) for high density 3DICs
URL : https://hal.archives-ouvertes.fr/hal-00604331

, Proc. the 14th International Interconnect Technology Conference, pp.1-3, 2010.

M. Saint-laurent and M. Swaminathan, Impact of power-supply noise on timing in high-frequency microprocessors, IEEE Transactions on Advanced Packaging, vol.27, issue.1, pp.135-144, 2004.

K. Arabi, R. Saleh, and X. Meng, Power Supply Noise in SoCs: Metrics, Management, and Measurement. Design & Test of Computers, vol.24, pp.236-244, 2007.

F. Firouzi, S. Kiamehr, and M. B. Tahoori, Modeling and estimation of power supply noise using linear programming, Proc. the 30th International Conference on Computer-Aided Design, pp.537-542, 2011.

R. Joseph, D. Brooks, and M. Martonosi, Control techniques to eliminate voltage emergencies in high performance processors, Proc. the 9th International Symposium on High-Performance Computer Architecture, pp.79-90, 2003.

E. Grochowski, D. Ayers, and V. Tiwari, Microarchitectural simulation and control of di/dt-induced power supply voltage variation, Proc. the 8th High-Performance Computer Architecture, pp.7-16, 2002.

G. Huang, D. Sekar, and A. Naeemi, Compact Physical Models for Power Supply Noise and Chip/Package Co-Design of Gigascale Integration, Proc. the 58th Electronic Components and Technology Conference, pp.1659-1666, 2008.

M. Healy and S. K. Lim, Distributed TSV Topology for 3-D Power-Supply Networks

, IEEE Transactions on Very Large Scale Integration Systems, vol.20, issue.11, pp.2066-2079, 2012.

C. Zhang, V. Pavlidis, and G. D. Micheli, Voltage propagation method for 3-D power grid analysis, Proc. the 15th Design, Automation & Test in Europe Conference & Exhibition, pp.844-847, 2012.

A. Todri-sanial and Y. Cheng, A Study of 3-D Power Delivery Networks With Multiple Clock Domains, IEEE Transactions on Very Large Scale Integration Systems, vol.24, issue.11, pp.3218-3231, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446137

A. Coskun, T. Rosing, and K. Whisnant, Temperature Aware Task Scheduling in MPSoCs, Proc. the 10th Design, Automation and Test in Europe Conference and Exhibition, pp.1659-1664, 2007.

L. Shang, L. Peh, and A. Kumar, Thermal Modeling, Characterization and Management of On-Chip Networks, Proc. the 37th IEEE/ACM International Symposium on Microarchitecture, pp.67-78, 2004.

H. Jung and . Rong, Stochastic modeling of a thermally-managed multicore system, Proc. the 45th Design Automation Conference, pp.728-733, 2008.

L. Qian and Z. Zhu, Analytical heat transfer model for three-dimensional integrated circuits incorporating through silicon via effect -RETRACTED. Micro & Nano Letters, vol.7, pp.994-996, 2012.

F. Hameed, M. Faruque, and J. Henkel, Dynamic thermal management in 3D multicore architecture through run-time adaptation, Proc. the 14th Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2011.

A. Coskun, T. Rosing, and K. Whisnant, Temperature Aware Task Scheduling in MPSoCs, Proc. 10th Design, Automation & Test in Europe Conference & Exhibition, pp.1659-1664, 2007.

R. Jayaseelan and T. Mitra, Temperature aware task sequencing and voltage scaling, Proc. the 27th IEEE/ACM International Conference on Computer-Aided Design, pp.618-623, 2008.

C. Liao, C. Wen, and K. Chakrabarty, An online thermal-constrained task scheduler for 3D multi-core processors, Proc. the 18th IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition, pp.351-356, 2015.

M. Momtazpour, E. Sanaei, and M. Goudarzi, Power-yield optimization in MPSoC task scheduling under process variation, Proc. the 11st International Symposium on Quality Electronic Design, pp.747-754, 2010.

J. Hu and R. Marculescu, Energy-and performance-aware mapping for regular NoC architectures, IEEE Transactions on