M. Imani, S. Gupta, and T. Rosing, Ultra-efficient process445 ing in-memory for data intensive applications, Proceedings of the 54th Annual Design Automation Con, vol.6, pp.1-6, 2017.

J. Zhou, X. Yang, J. Wu, X. Zhu, X. Fang et al., A memristor-based architecture combining memory and 450 image processing, Sci. China Inform. Sci, vol.57, issue.5, pp.1-12, 2014.

N. S. Kim, T. Austin, D. Blaauw, T. Mudge, K. Flautner et al., Leakage current: Moore's law meets static power, pp.68-75, 2003.

S. W. Keckler, W. J. Dally, B. Khailany, M. Garland, and D. Glasco, GPUs and the future of parallel computing, IEEE Micro, vol.31, issue.5, pp.7-17, 2011.

S. Li, C. Xu, Q. Zou, J. Zhao, Y. Lu et al., Pinatubo: 460 A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories, 53nd ACM/EDAC/IEEE Design Automation Conference, pp.1-6, 2016.

L. Koskinen, J. Tissari, J. Teittinen, and E. Lehtonen, , p.465

M. Laiho and J. H. Poikonen, A performance case-study on memristive computing-in-memory versus Von Neumann architecture, Data Compression Conference, pp.613-613, 2016.

H. Li, T. F. Wu, S. Mitra, and H. S. Wong, Resis470 tive RAM-centric computing: Design and modeling methodology, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.64, issue.9, pp.2263-2273, 2017.

J. Ahn, S. Hong, S. Yoo, O. Mutlu, and K. Choi, A scalable processing-in-memory accelerator for parallel 475 graph processing, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture, pp.105-117, 2015.

K. Chen, S. Li, N. Muralimanohar, J. H. Ahn, J. B. Brockman et al., CACTI-3DD: Architecture480 level modeling for 3D die-stacked DRAM main memory, 2012 Design, Automation Test in Europe Conference Exhibition, pp.33-38, 2012.

X. Dong, X. Wu, G. Sun, Y. Xie, H. Li et al., Circuit and microarchitecture evaluation of 3D stacking mag485 netic RAM (MRAM) as a universal memory replacement, 45th ACM/IEEE Design Automation Conference, pp.554-559, 2008.

K. W. Kwon, X. Fong, P. Wijesinghe, P. Panda, and K. Roy, High-density and robust STT-MRAM array through 490 device/circuit/architecture interactions, IEEE Trans. Nanotechnol, vol.14, issue.6, pp.1024-1034, 2015.

W. Zhao and G. Prenat, Spintronics-Based Computing, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01976638

X. Fong, Y. Kim, K. Yogendra, D. Fan, and A. Sengupta, , p.495

A. Raghunathan and K. Roy, Spin-transfer torque devices for logic and memory: Prospects and perspectives, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst, vol.35, issue.1, pp.1-22, 2016.

C. J. Xue, G. Sun, Y. Zhang, J. J. Yang, and Y. Chen, , p.500

H. Li, Emerging non-volatile memories: opportunities and challenges, IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp.325-334, 2011.

F. Parveen, S. Angizi, Z. He, and D. Fan, Low power inmemory computing based on dual-mode SOT-MRAM, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, pp.1-6, 2017.

L. Zhang, Y. Cheng, W. Kang, L. Torres, and Y. Zhang, , p.510

A. Todri-sanial and W. Zhao, Addressing the thermal issues of STT-MRAM from compact modeling to design techniques, IEEE Trans. Nanotechnol, vol.17, issue.2, pp.345-352, 2018.
URL : https://hal.archives-ouvertes.fr/lirmm-01880065

W. Kang, Y. Zhang, Z. Wang, J. Klein, C. ;. Ravelosona et al., Spintronics: Emerging ultra-low-power circuits and systems beyond MOS technology, ACM J. Emerg. Technol. Comput. Syst, vol.12, issue.2, p.42, 2015.

H. S. Wong and S. Salahuddin, Memory leads the way 520 to better computing, Nat. Nanotechnol, vol.10, issue.3, pp.191-194, 2015.

W. Kang, L. Zhang, J. Klein, Y. Zhang, D. Ravelosona et al., Reconfigurable codesign of STTMRAM under process variations in deeply scaled tech525 nology, IEEE Trans. Electron Devices, vol.62, issue.6, pp.1769-1777, 2015.

S. Peng, W. Zhao, J. Qiao, L. Su, J. Zhou et al., Giant interfacial perpendicular magnetic 530 anisotropy in mgo/cofe/capping layer structures, Appl. Phys. Lett, vol.110, issue.7, p.72403, 2017.

P. Chi, S. Li, C. Xu, T. Zhang, J. Zhao et al., PRIME: A novel processing-inmemory architecture for neural network computation 535 in ReRAM-based main memory, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture, pp.27-39, 2016.

W. Kang, H. Wang, Z. Wang, Y. Zhang, and W. Zhao, In-memory processing paradigm for bitwise logic op540 erations in STT-MRAM, IEEE Trans. Magn, vol.53, issue.11, pp.1-4, 2017.

J. Yu, R. Nane, A. Haron, S. Hamdioui, H. Corporaal et al., Skeleton-based design and simulation flow for computation-in-memory architectures, p.2016

, IEEE/ACM International Symposium on Nanoscale Architectures, pp.165-170, 2016.

A. Haron, J. Yu, R. Nane, M. Taouil, S. Hamdioui et al., Parallel matrix multiplication on memristorbased computation-in-memory architecture, 2016 550 International Conference on High Performance Computing Simulation, pp.759-766, 2016.

S. Hamdioui, M. Taouil, H. A. Nguyen, A. Haron, L. Xie et al., CIM100x: Computation in-memory architecture based on resistive devices, in: 2016 15th In555 ternational Workshop on Cellular Nanoscale Networks and their Applications, pp.1-2, 2016.

D. Patterson, T. Anderson, N. Cardwell, R. Fromm, K. Keeton et al., Intelligent RAM (IRAM): chips that remember and compute, 560 in: 1997 IEEE International Solids-State Circuits Conference, Digest of Technical Papers, pp.224-225, 1997.

D. Fan, S. Angizi, and Z. He, In-memory computing with spintronic devices, IEEE Computer Society Annual Symposium on VLSI, pp.683-688, 2017.

S. Hamdioui, L. Xie, H. A. Nguyen, and M. Taouil, , p.570

K. Bertels, H. Corporaal, H. Jiao, F. Catthoor, D. Wouters et al., Memristor based computation-in-memory architecture for data-intensive applications, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, vol.575, pp.1718-1725, 2015.

S. Hamdioui, M. Taouil, H. A. Nguyen, A. Haron, L. Xie et al., Memristor: the enabler of computation-in-memory architecture for big-data, 2015 International Conference on Memristive Systems, pp.1-3, 2015.

S. Hamdioui, Computation in memory for dataintensive applications: Beyond CMOS and beyond VonNeumann

, Systems, pp.1-1, 2015.

J. J. Yang, D. B. Strukov, and D. R. Stewart, Memristive devices for computing, Nat. Nanotechnol, vol.8, issue.1, pp.13-24, 2013.

L. Zhang, W. Kang, H. Cai, P. Ouyang, and L. Torres, , p.590

Y. Zhang, A. Todri-sanial, and W. Zhao, A robust dual reference computing-in-memory implementation and design space exploration within STT-MRAM
URL : https://hal.archives-ouvertes.fr/lirmm-01880184

L. Zhang, W. Kang, Y. Zhang, Y. Cheng, L. Zeng et al., Channel modeling and reliability en595 hancement design techniques for STT-MRAM, IEEE Computer Society Annual Symposium on VLSI, pp.461-466, 2015.

W. Zhao and Y. Cao, Predictive technology model for nanocmos design exploration, J. Emerg. Technol. Comput

. Syst, , vol.3

Y. Wang, Y. Zhang, E. Deng, J. Klein, L. A. Naviner et al., Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses, Microelectron. Reliab, vol.54, issue.9, pp.1774-1778, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01216431

L. Zhang, A. Todri-sanial, W. Kang, Y. Zhang, L. Torres et al., Quantitative evaluation of reliability and performance for STT-MRAM, 2016 IEEE International Symposium on Circuits and Sys610 tems, pp.1150-1153, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446275

M. Wang, W. Cai, K. Cao, J. Zhou, J. Wrona et al., Current-induced magnetization switching in atom-thick tungsten engineered per