Y. Huai, Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects, AAPPS Bulletin, vol.18, issue.6, pp.33-40, 2008.

N. S. Kim, T. Austin, and D. Baauw, Leakage current: Moore's law meets static power, Computer, vol.36, issue.12, pp.68-75, 2003.

W. Zhao and G. Prenat, Spintronics-based computing, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01976638

S. A. Wolf, D. D. Awschalom, and R. A. Buhrman, Spintronics: a spin-based electronics vision for the future, Science, vol.294, issue.5546, pp.1488-1495, 2001.

H. P. Wong and S. Salahuddin, Memory leads the way to better computing, Nature nanotechnology, vol.10, issue.3, pp.191-194, 2015.

W. Kang, Y. Zhang, and Z. Wang, Spintronics: emerging ultra-lowpower circuits and systems beyond MOS technology, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol.12, issue.2, p.16, 2015.

L. Wilson, International technology roadmap for semiconductors (ITRS), Semiconductor Industry Association, 2013.

H. Cai, H. Petit, and J. F. Naviner, Reliability aware design of low power continuous-time sigma-delta modulator, Microelectronics Reliability, vol.51, issue.9, pp.1449-1453, 2011.

W. Kang, L. Zhang, and J. Klein, Reconfigurable codesign of STT-MRAM under process variations in deeply scaled technology, IEEE Transactions on Electron Devices, vol.62, issue.6, pp.1769-1777, 2015.

Y. Zhang, X. Wang, and H. Li, STT-RAM cell optimization considering MTJ and CMOS variations, IEEE Transactions on Magnetics, vol.47, issue.10, pp.2962-2965, 2011.

H. Cai, Y. Wang, and W. Zhao, Multiplexing sense-amplifier-based magnetic flip-flop in a 28-nm FDSOI technology, IEEE Transactions on Nanotechnology, vol.14, issue.4, pp.761-767, 2015.

A. Aziz and S. K. Gupta, Hybrid multiplexing (HYM) for read-and area-optimized MRAMs with separate read-write paths, IEEE Transactions on Nanotechnology, vol.15, issue.3, pp.473-483, 2016.

L. Zhang, A. Todri-sanial, and W. Kang, Quantitative evaluation of reliability and performance for STT-MRAM, IEEE International Symposium on Circuits and Systems, pp.1150-1153, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446275

D. Lee and K. Roy, Energy-delay optimization of the STT MRAM write operation under process variations, IEEE Transactions on Nanotechnology, vol.13, issue.4, pp.714-723, 2014.

X. Fong, S. H. Choday, and K. Roy, Bit-cell level optimization for nonvolatile memories using magnetic tunnel junctions and spin-transfer torque switching, IEEE Transactions on Nanotechnology, vol.11, issue.1, pp.172-181, 2012.

W. Kang, Z. Li, and J. O. Klein, Variation-tolerant and disturbancefree sensing circuit for deep nanometer STT-MRAM, IEEE Transactions on Nanotechnology, vol.13, issue.6, pp.1088-1092, 2014.

C. J. Lin, S. H. Kang, and Y. J. Wang, 45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell, 2009 IEEE International Electron Devices Meeting (IEDM, pp.1-4, 2009.

B. Wu, Y. Q. Cheng, and J. L. Yang, Temperature impact analysis and access reliability enhancement for 1T1MTJ STT-RAM, IEEE Transactions on Reliability, vol.65, issue.4, pp.1755-1768, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446148

L. Zhang, Y. Cheng, and W. Kang, Reliability and performance evaluation for STT-MRAM under temperature variation, 2016 17th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE), pp.1-4, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446252

X. Y. Bi, H. Li, and X. B. Wang, STT-RAM cell design considering CMOS and MTJ temperature dependence, IEEE Transactions on Magnetics, vol.48, issue.11, pp.3821-3824, 2012.

X. Liu, D. Mazumdar, and W. Shen, Thermal stability of magnetic tunneling junctions with MgO barriers for high temperature spintronics, Applied Physics Letters, vol.89, issue.2, p.23504, 2006.

C. H. Shang, J. Nowak, and R. Jansen, Temperature dependence of magnetoresistance and surface magnetization in ferromagnetic tunnel junctions, Physical Review B, vol.58, issue.6, p.2917, 1998.

X. Kou, J. Schmalhorst, and A. Thomas, Temperature dependence of the resistance of magnetic tunnel junctions with MgO barrier, Applied Physics Letters, vol.88, issue.21, p.212115, 2006.

F. Guinea, Spin-flip scattering in magnetic junctions, Physical Review B, vol.58, issue.14, p.9212, 1998.

V. Drewello, J. Schmalhorst, and A. Thomas, Evidence for strong magnon contribution to the TMR temperature dependence in MgO based tunnel junctions, Physical Review B, vol.77, issue.1, p.14440, 2008.

T. Aoki, Y. Ando, and D. Watanabe, Spin transfer switching in the nanosecond regime for CoFeB/MgO/CoFeB ferromagnetic tunnel junctions, Journal of Applied Physics, vol.103, issue.10, p.103911, 2008.

D. Apalkov, M. Pakala, and Y. Huai, Micromagnetic simulation of spin transfer torque switching by nanosecond current pulses, Journal of Applied Physics, vol.99, issue.8, pp.8-907, 2006.

H. Zhao, P. K. Amiri, and Y. Zhang, Spin-transfer torque switching above ambient temperature, IEEE Magnetics Letters, vol.3, pp.3000304-3000304, 2012.

J. Z. Sun, Spin angular momentum transfer in current-perpendicular nanomagnetic junctions, IBM Journal of Research and Development, vol.50, issue.1, pp.81-100, 2006.

W. Kang, Y. Ran, and Y. Zhang, Modeling and exploration of the voltage-controlled magnetic anisotropy effect for the next-generation low-power and high-speed MRAM applications, IEEE Transactions on Nanotechnology, vol.16, issue.3, pp.387-395, 2017.

Y. Wang, H. Cai, and L. Naviner, Compact thermal modeling of spin transfer torque magnetic tunnel junction, Microelectronics Reliability, vol.55, issue.9, pp.1649-1653, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01216389

W. Zhao, C. Chappert, and V. Javerliac, High speed, high stability and low power sensing amplifier for MTJ/CMOS hybrid logic circuits, IEEE Transactions on Magnetics, vol.45, issue.10, pp.3784-3787, 2009.

W. Kang, W. Zhao, and Z. Wang, A low-cost built-in error correction circuit design for STT-MRAM reliability improvement, Microelectronics Reliability, vol.53, issue.9, pp.1224-1229, 2013.