M. Hosomi, A novel nonvolatile memory with spin torque transfer magnetization switching: spin-RAM, IEEE International Electron Devices Meeting IEDM Technical Digest, pp.459-462, 2005.

J. Li, P. Ndai, A. Goel, S. Salahuddin, and K. Roy, Design paradigm for robust spin-torque transfer magnetic RAM (STT-MRAM) from circuit/architecture perspective, IEEE Transactions on, vol.18, issue.12, pp.1710-1723, 2010.

Y. Chen, X. Wang, H. Li, H. Xi, Y. Yan et al., Design margin exploration of spin-transfer torque RAM (STT-RAM) in scaled technologies, IEEE Transactions on, vol.18, issue.12, pp.1724-1734, 2010.

W. Zhao and Y. Cao, New generation of predictive technology model for sub-45 nm early design exploration, Electron Devices, vol.53, pp.2816-2823, 2006.

K. Munira, W. Soffa, and A. Ghosh, Comparative material issues for fast reliable switching in stt-rams, 11th IEEE Conference on Nanotechnology, pp.1403-1408, 2011.

A. Nigam, C. Smullen, V. Mohan, E. Chen, S. Gurumurthi et al., Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM), International Symposium on Low Power Electronics and Design (ISLPED), pp.121-126, 2011.

W. Zhao, Failure and reliability analysis of STT-MRAM, Microelectronics Reliability, issue.52, pp.1848-1852, 2012.

E. I. Vatajelu, R. Rodriguez-montanes, M. Indaco, M. Renovell, P. Prinetto et al., Read/write robustness estimation metrics for spin transfer torque (STT) MRAM cell, 2015.

F. Xuanyao and K. Roy, Robust low-power multi-terminal STT-MRAM, Non-Volatile Memory Technology Symposium (NVMTS), pp.1-4, 2013.

F. Xuanyao and K. Roy, Low-power robust complementary polarizer STTMRAM (CPSTT) for on-chip caches, IEEE International Memory Workshop (IMW), pp.88-91, 2013.

S. H. Choday, S. K. Gupta, and K. Roy, Write-Optimized STT-MRAM BitCells Using Asymmetrically Doped Transistors, Electron Device Letters, IEEE, vol.35, issue.11, pp.1100-1102, 2014.

F. Xuanyao, K. Yusung, S. H. Choday, and . Roy, Failure mitigation techniques for 1T-1MTJ Spin-Transfer Torque MRAM bit-cells, IEEE Transactions on, vol.22, issue.2, p.384, 2014.

J. Slonczewski, Current-driven excitation of magnetic multilayers, Journal of Magnetism and Magnetic Materials, vol.159, issue.12, pp.1-7, 1996.

A. V. Khvalkovskiy, Basic principles of STT-MRAM cell operation in memory arrays, Journal of Physics D: Applied Physics, issue.46, 2013.

C. W. Smullen, Relaxing non-volatility for fast and energyefficient STT-RAM caches, IEEE International Symposium on High Performance Computer Architecture (HPCA), pp.50-61, 2011.

M. Durlam, A 1-Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects, IEEE Journal of Solid-State Circuits, vol.38, issue.5, pp.769-773, 2003.

E. I. Vatajelu and J. Figueras, Robustness analysis of 6T SRAMs in memory retention mode under PVT variations, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1-6, 2011.

Y. Zhang, W. Zhao, D. Ravelosona, J. Klein, J. Kim et al., A compact model of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junction, IEEE Transaction on Electron Device, vol.59, pp.819-826, 2012.

W. F. Brown, Thermal fluctuations of a single-domain particle, Physical Review, vol.130, issue.5, pp.1677-1686, 1963.

M. P. Sharrock, Time dependence of switching fields in magnetic recording media, Journal of Applied Physics, vol.76, issue.10, 1994.

R. Ubal, J. Sahuquillo, S. Petit, H. Hassan, and P. Lopez, Leakage Current Reduction in Data Caches on Embedded Systems, Intelligent Pervasive Computing Conference (IPC), pp.45-50, 2007.

L. Jing, L. Haixin, S. Salahuddin, and K. Roy, Variation-tolerant SpinTorque Transfer (STT) MRAM array for yield enhancement, IEEE Custom Integrated Circuits Conference (CICC), pp.193-196, 2008.

H. Sato, M. Yamanouchi, K. Miura, S. Ikeda, R. Koizumi et al., Junction size effect on switching current and thermal stability in CoFeB/MgO perpendicular magnetic tunnel junctions, Appl. Phys. Lett, vol.99, p.42501, 2011.

S. Amara-dababi, Charge trapping-detrapping mechanism of barrier breakdown in MgO magnetic tunnel junctions, Appl. Phys. Lett, vol.99, p.83501, 2011.

G. Panagopoulos, C. Augustine, and K. Roy, Modeling of dielectric breakdown-induced time-dependent STT-MRAM performance degradation, Device Research Conference (DRC), pp.125-126, 2011.