F. Ganz, D. Puschmann, P. Barnaghi, and F. Carrez, A practical evaluation of information processing and abstraction techniques for the internet of things, IEEE Internet of Things Journal, vol.2, issue.4, pp.340-354, 2015.

P. G. Lopez, A. Montresor, D. Epema, A. Datta, T. Higashino et al., Edge-centric computing: Vision and challenges, SIGCOMM Comput. Commun. Rev, vol.45, issue.5, pp.37-42, 2015.

Y. Ai, M. Peng, and K. Zhang, Edge computing technologies for internet of things: a primer, Digital Communications and Networks, vol.4, issue.2, pp.77-86, 2018.

M. Satyanarayanan, The emergence of edge computing, Computer, vol.50, issue.1, pp.30-39, 2017.

D. Smith, Computing at the Edge of IoT, 2018.

S. Wang, X. Zhang, Y. Zhang, L. Wang, J. Yang et al., A survey on mobile edge networks: Convergence of computing, caching and communications, IEEE Access, vol.5, pp.6757-6779, 2017.

A. M. Khan, I. Umar, and P. H. Ha, Efficient compute at the edge: Optimizing energy aware data structures for emerging edge hardware, 2018 International Conference on High Performance Computing Simulation (HPCS), pp.314-321, 2018.

M. H. Ionica and D. Gregg, The movidius myriad architecture's potential for scientific computing, IEEE Micro, vol.35, issue.1, pp.6-14, 2015.

, Samsung Optimizes Premium Exynos 9 Series 9810 for AI Applications and Richer Multimedia Content, 2018.

D. Franklin, NVIDIA Jetson TX2 Delivers Twice the Intelligence to the Edge, 2017.

J. Davies, Arm is changing machine learning experiences: Project Trillium, 2018.

J. Boukhobza, S. Rubini, R. Chen, and Z. Shao, Emerging NVM: A survey on architectural integration and research challenges, ACM Trans. Design Autom. Electr. Syst, vol.23, issue.2, pp.1-14, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01709571

W. Chen, K. Li, W. Lin, K. Hsu, P. Li et al., A 65nm 1mb nonvolatile computing-in-memory reram macro with sub-16ns multiply-and-accumulate for binary dnn ai edge processors, 2018 IEEE International Solid -State Circuits Conference -(ISSCC), pp.494-496, 2018.

B. Varghese, N. Wang, S. Barbhuiya, P. Kilpatrick, and D. S. Nikolopoulos, Challenges and opportunities in edge computing, 2016 IEEE International Conference on Smart Cloud (SmartCloud), pp.20-26, 2016.

A. Branover, D. Foley, and M. Steinman, Amd fusion apu: Llano, IEEE Micro, vol.32, issue.2, pp.28-37, 2012.

P. Greenhalgh, Little processing with ARM cortex-A15 & cortex-A7 -ARM White paper, ARM, Tech. Rep, 2011.

S. , A survey of techniques for architecting and managing asymmetric multicore processors, ACM Comput. Surv, vol.48, issue.3, pp.1-45, 2016.

D. Miorandi, S. Sicari, F. D. Pellegrini, and I. Chlamtac, Internet of things: Vision, applications and research challenges, Ad Hoc Networks, vol.10, issue.7, pp.1497-1516, 2012.

T. Adegbija, A. Rogacs, C. Patel, and A. Gordon-ross, Microprocessor optimizations for the internet of things: A survey, IEEE Trans. on CAD of Integrated Circuits and Systems, vol.37, issue.1, pp.7-20, 2018.

, Cortus SAS -Advanced Processing Solutions, 2017.

A. Sehgal and N. Kehtarnavaz, A convolutional neural network smartphone app for real-time voice activity detection, IEEE Access, vol.6, pp.9017-9026, 2018.

A. Gordon-ross, F. Vahid, and N. D. Dutt, Fast configurable-cache tuning with a unified second-level cache, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, issue.1, pp.80-91, 2009.

Y. Kora, K. Yamaguchi, and H. Ando, Mlp-aware dynamic instruction window resizing for adaptively exploiting both ilp and mlp, Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO-46, pp.37-48, 2013.

A. Efthymiou and J. D. Garside, Adaptive pipeline structures fo speculation control, Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems, ser. ASYNC '03, p.46, 2003.

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-isa heterogeneous multi-core architectures: The potential for processor power reduction, Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 36, p.81, 2003.

V. K. Chippa, S. Venkataramani, S. T. Chakradhar, K. Roy, and A. Raghunathan, Approximate computing: An integrated hardware approach, 2013 Asilomar Conference on Signals, Systems and Computers, pp.111-117, 2013.

F. Samie, L. Bauer, and J. Henkel, An approximate compressor for wearable biomedical healthcare monitoring systems, Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, ser. CODES '15, pp.133-142, 2015.

A. P. Chandrakasan, D. C. Daly, J. Kwong, and Y. K. Ramadass, Next generation micro-power systems, 2008 IEEE Symposium on VLSI Circuits, pp.2-5, 2008.

S. Gollakota, M. S. Reynolds, J. R. Smith, and D. J. Wetherall, The emergence of rf-powered computing, Computer, vol.47, issue.1, pp.32-39, 2014.

Y. Liu, Z. Li, H. Li, Y. Wang, X. Li et al., Ambient energy harvesting nonvolatile processors: From circuit to system, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2015.

S. Senni, L. Torres, G. Sassatelli, and A. Gamatié, Non-volatile processor based on MRAM for ultra-low-power iot devices, JETC, vol.13, issue.2, pp.1-17, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01419425

J. Choi, C. Jung, and K. Min, Pcram flip-flop circuits with sequential sleep-in control scheme and selective write latch, JSTS:Journal of Semiconductor Technology and Science, vol.13, p.2013

J. Ahn, S. Yoo, O. Mutlu, and K. Choi, Pim-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA), pp.336-348, 2015.

M. Kang, S. K. Gonugondla, M. Keel, and N. R. Shanbhag, An energyefficient memory-based high-throughput vlsi architecture for convolutional networks, 2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp.1037-1041, 2015.

J. Crenne, R. Vaslin, G. Gogniat, J. Diguet, R. Tessier et al., Configurable memory security in embedded systems, ACM Trans. Embed. Comput. Syst, vol.12, issue.3, pp.1-71, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00765636

P. Koeberl, S. Schulz, A. Sadeghi, and V. Varadharajan, Trustlite: A security architecture for tiny embedded devices, Proceedings of the Ninth European Conference on Computer Systems, ser. EuroSys '14, vol.10, 2014.

M. D. Hill and M. R. Marty, Amdahl's law in the multicore era, Computer, vol.41, issue.7, pp.33-38, 2008.

T. Y. Morad, U. C. Weiser, A. Kolodny, M. Valero, and E. Ayguade, Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors, IEEE Comput. Archit. Lett, vol.5, issue.1, pp.4-17, 2006.

R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, Heterogeneous chip multiprocessors, Computer, vol.38, issue.11, pp.32-38, 2005.

T. Adegbija, A. Rogacs, C. Patel, and A. Gordon-ross, Enabling RightProvisioned Microprocessor Architectures for the Internet of Things, ASME International Mechanical Engineering Congress and Exposition, 2015.

P. Kansakar and A. Munir, Selecting Microarchitecture Configuration of Processors for Internet of Things (IoT), IEEE Transactions on Emerging Topics in Computing, pp.1-1, 2018.

A. Butko, F. Bruguier, A. Gamatié, G. Sassatelli, D. Novo et al., Full-system simulation of big.little multicore architecture for performance and energy exploration, 10th IEEE International Symposium on Embedded Multicore/Manycore Systems-on-Chip, MCSOC 2016, pp.201-208, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01418745

, Genesys 2 Kintex-7 FPGA Development Board, 2017.

. Wikipedia, List of ARM microarchitectures, 2019.

, OpenMP Application Program Interface -Version 4.0, 2013.

A. Duran, E. Ayguadé, R. M. Badia, J. Labarta, L. Martinell et al., Ompss: a proposal for programming heterogeneous multi-core architectures, Parallel Processing Letters, vol.21, issue.2, pp.173-193, 2011.

B. Nichols, D. Buttlar, and J. P. Farrell, Pthreads Programming. Sebastopol, 1996.

J. Gustafsson, A. Betts, A. Ermedahl, and B. Lisper, The Mälardalen WCET benchmarks -past, present and future, International Workshop on Worst-Case Execution Time Analysis (WCET'2010, pp.137-147, 2010.

L. Ma, L. Lavagno, M. T. Lazarescu, and A. Arif, Acceleration by inline cache for memory-intensive algorithms on fpga via high-level synthesis, IEEE Access, vol.5, pp.18-953, 2017.

N. S. Mokhtari, Performance optimization of memory-bound programs on data parallel accelerators, 2016.

J. C. Silva, F. M. Pereira, M. Frank, and A. Gamatié, A compiler-centric infra-structure for whole-board energy measurement on heterogeneous android systems, 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, pp.1-8, 2018.
URL : https://hal.archives-ouvertes.fr/lirmm-01912850

Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson et al., Microarchitectural techniques for power gating of execution units, Proceedings of the 2004 International Symposium on Low Power Electronics and Design, ser. ISLPED '04, pp.32-37, 2004.

Q. Wu, M. Pedram, and X. Wu, Clock-gating and its application to low power design of sequential circuits, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol.47, issue.3, pp.415-420, 2000.

J. Cong and B. Yuan, Energy-efficient scheduling on heterogeneous multi-core architectures, Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ser. ISLPED '12, pp.345-350, 2012.

,

R. Nishtala, P. Carpenter, V. Petrucci, and X. Martorell, Hipster: Hybrid task manager for latency-critical cloud workloads, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp.409-420, 2017.

J. K. Sreelatha, S. Balachandran, and R. Nasre, CHOAMP: Cost based hardware optimization for asymmetric multicore processors, IEEE Transactions on Multi-Scale Computing Systems, vol.4, issue.2, pp.163-176, 2018.