A practical evaluation of information processing and abstraction techniques for the internet of things, IEEE Internet of Things Journal, vol.2, issue.4, pp.340-354, 2015. ,
Edge-centric computing: Vision and challenges, SIGCOMM Comput. Commun. Rev, vol.45, issue.5, pp.37-42, 2015. ,
Edge computing technologies for internet of things: a primer, Digital Communications and Networks, vol.4, issue.2, pp.77-86, 2018. ,
The emergence of edge computing, Computer, vol.50, issue.1, pp.30-39, 2017. ,
Computing at the Edge of IoT, 2018. ,
A survey on mobile edge networks: Convergence of computing, caching and communications, IEEE Access, vol.5, pp.6757-6779, 2017. ,
Efficient compute at the edge: Optimizing energy aware data structures for emerging edge hardware, 2018 International Conference on High Performance Computing Simulation (HPCS), pp.314-321, 2018. ,
The movidius myriad architecture's potential for scientific computing, IEEE Micro, vol.35, issue.1, pp.6-14, 2015. ,
, Samsung Optimizes Premium Exynos 9 Series 9810 for AI Applications and Richer Multimedia Content, 2018.
NVIDIA Jetson TX2 Delivers Twice the Intelligence to the Edge, 2017. ,
Arm is changing machine learning experiences: Project Trillium, 2018. ,
Emerging NVM: A survey on architectural integration and research challenges, ACM Trans. Design Autom. Electr. Syst, vol.23, issue.2, pp.1-14, 2018. ,
URL : https://hal.archives-ouvertes.fr/hal-01709571
A 65nm 1mb nonvolatile computing-in-memory reram macro with sub-16ns multiply-and-accumulate for binary dnn ai edge processors, 2018 IEEE International Solid -State Circuits Conference -(ISSCC), pp.494-496, 2018. ,
Challenges and opportunities in edge computing, 2016 IEEE International Conference on Smart Cloud (SmartCloud), pp.20-26, 2016. ,
Amd fusion apu: Llano, IEEE Micro, vol.32, issue.2, pp.28-37, 2012. ,
Little processing with ARM cortex-A15 & cortex-A7 -ARM White paper, ARM, Tech. Rep, 2011. ,
A survey of techniques for architecting and managing asymmetric multicore processors, ACM Comput. Surv, vol.48, issue.3, pp.1-45, 2016. ,
Internet of things: Vision, applications and research challenges, Ad Hoc Networks, vol.10, issue.7, pp.1497-1516, 2012. ,
Microprocessor optimizations for the internet of things: A survey, IEEE Trans. on CAD of Integrated Circuits and Systems, vol.37, issue.1, pp.7-20, 2018. ,
, Cortus SAS -Advanced Processing Solutions, 2017.
A convolutional neural network smartphone app for real-time voice activity detection, IEEE Access, vol.6, pp.9017-9026, 2018. ,
Fast configurable-cache tuning with a unified second-level cache, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, issue.1, pp.80-91, 2009. ,
Mlp-aware dynamic instruction window resizing for adaptively exploiting both ilp and mlp, Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO-46, pp.37-48, 2013. ,
Adaptive pipeline structures fo speculation control, Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems, ser. ASYNC '03, p.46, 2003. ,
Single-isa heterogeneous multi-core architectures: The potential for processor power reduction, Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 36, p.81, 2003. ,
Approximate computing: An integrated hardware approach, 2013 Asilomar Conference on Signals, Systems and Computers, pp.111-117, 2013. ,
An approximate compressor for wearable biomedical healthcare monitoring systems, Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, ser. CODES '15, pp.133-142, 2015. ,
Next generation micro-power systems, 2008 IEEE Symposium on VLSI Circuits, pp.2-5, 2008. ,
The emergence of rf-powered computing, Computer, vol.47, issue.1, pp.32-39, 2014. ,
Ambient energy harvesting nonvolatile processors: From circuit to system, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2015. ,
Non-volatile processor based on MRAM for ultra-low-power iot devices, JETC, vol.13, issue.2, pp.1-17, 2016. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01419425
Pcram flip-flop circuits with sequential sleep-in control scheme and selective write latch, JSTS:Journal of Semiconductor Technology and Science, vol.13, p.2013 ,
Pim-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA), pp.336-348, 2015. ,
An energyefficient memory-based high-throughput vlsi architecture for convolutional networks, 2015 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp.1037-1041, 2015. ,
Configurable memory security in embedded systems, ACM Trans. Embed. Comput. Syst, vol.12, issue.3, pp.1-71, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00765636
Trustlite: A security architecture for tiny embedded devices, Proceedings of the Ninth European Conference on Computer Systems, ser. EuroSys '14, vol.10, 2014. ,
Amdahl's law in the multicore era, Computer, vol.41, issue.7, pp.33-38, 2008. ,
Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors, IEEE Comput. Archit. Lett, vol.5, issue.1, pp.4-17, 2006. ,
Heterogeneous chip multiprocessors, Computer, vol.38, issue.11, pp.32-38, 2005. ,
Enabling RightProvisioned Microprocessor Architectures for the Internet of Things, ASME International Mechanical Engineering Congress and Exposition, 2015. ,
Selecting Microarchitecture Configuration of Processors for Internet of Things (IoT), IEEE Transactions on Emerging Topics in Computing, pp.1-1, 2018. ,
Full-system simulation of big.little multicore architecture for performance and energy exploration, 10th IEEE International Symposium on Embedded Multicore/Manycore Systems-on-Chip, MCSOC 2016, pp.201-208, 2016. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01418745
, Genesys 2 Kintex-7 FPGA Development Board, 2017.
List of ARM microarchitectures, 2019. ,
, OpenMP Application Program Interface -Version 4.0, 2013.
Ompss: a proposal for programming heterogeneous multi-core architectures, Parallel Processing Letters, vol.21, issue.2, pp.173-193, 2011. ,
, Pthreads Programming. Sebastopol, 1996.
The Mälardalen WCET benchmarks -past, present and future, International Workshop on Worst-Case Execution Time Analysis (WCET'2010, pp.137-147, 2010. ,
Acceleration by inline cache for memory-intensive algorithms on fpga via high-level synthesis, IEEE Access, vol.5, pp.18-953, 2017. ,
Performance optimization of memory-bound programs on data parallel accelerators, 2016. ,
A compiler-centric infra-structure for whole-board energy measurement on heterogeneous android systems, 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, pp.1-8, 2018. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01912850
Microarchitectural techniques for power gating of execution units, Proceedings of the 2004 International Symposium on Low Power Electronics and Design, ser. ISLPED '04, pp.32-37, 2004. ,
Clock-gating and its application to low power design of sequential circuits, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol.47, issue.3, pp.415-420, 2000. ,
Energy-efficient scheduling on heterogeneous multi-core architectures, Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ser. ISLPED '12, pp.345-350, 2012. ,
,
Hipster: Hybrid task manager for latency-critical cloud workloads, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp.409-420, 2017. ,
CHOAMP: Cost based hardware optimization for asymmetric multicore processors, IEEE Transactions on Multi-Scale Computing Systems, vol.4, issue.2, pp.163-176, 2018. ,