C. Augonnet, S. Thibault, R. Namyst, and P. Wacrenier, StarPU: A Unified Platform for Task Scheduling on Heterogeneous Multicore Architectures, Concurr. Comput. : Pract. Exper, vol.23, pp.187-198, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00384363

R. Barik, N. Farooqui, B. T. Lewis, C. Hu, and T. Shpeisman, A Black-box Approach to Energy-aware Scheduling on Integrated CPU-GPU Systems, CGO. ACM, pp.70-81, 2016.

T. Bessa, P. Quintão, M. Frank, and F. Pereira, JetsonLeap: A Framework to Measure Energy-Aware Code Optimizations in Embedded and Heterogeneous Systems, pp.16-30, 2016.

C. Bienia, S. Kumar, J. Singh, and K. Li, The PARSEC Benchmark Suite: Characterization and Architectural Implications, PACT. ACM, pp.72-81, 2008.

D. M. Brooks, P. Bose, S. E. Schuster, H. Jacobson, P. N. Kudva et al., Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors, IEEE Micro, vol.20, pp.26-44, 2000.

S. Che, M. Boyer, J. Meng, D. Tarjan, J. W. Sheaffer et al., Rodinia: A Benchmark Suite for Heterogeneous Computing, pp.44-54, 2009.

H. Chung, M. Kang, and H. Cho, Heterogeneous Multi-Processing Solution of Exynos 5 Octa with ARM big.LITTLE Technology, 2012.

J. Cong and B. Yuan, Energy-efficient Scheduling on Heterogeneous Multi-core Architectures, ISLPED. ACM, pp.345-350, 2012.

J. Ferrante, K. J. Ottenstein, and J. D. Warren, The program dependence graph and its use in optimization, TOPLAS, vol.9, pp.319-349, 1987.

F. Gaspar, L. Taniça, P. Tomás, A. Ilic, and L. Sousa, A Framework for Application-Guided Task Management on Heterogeneous Embedded Systems, ACM Trans. Archit. Code Optim, vol.12, p.25, 2015.

D. Grewe, F. Michael, and . Boyle, A static task partitioning approach for heterogeneous systems using OpenCL, Compiler Construction, pp.286-305, 2011.

A. Jain, M. A. Laurenzano, L. Tang, and J. Mars, Continuous shape shifting: Enabling loop co-optimization via near-free dynamic code rewriting, MICRO, pp.1-12, 2016.

A. José, M. Joao, O. Aater-suleman, Y. N. Mutlu, and . Patt, Bottleneck Identification and Scheduling in Multithreaded Applications, ASPLOS. ACM, pp.223-234, 2012.

C. Lattner, S. Vikram, and . Adve, LLVM: A Compilation Framework for Lifelong Program Analysis & Transformation, CGO. IEEE, pp.75-88, 2004.

E. L. Sueur and G. Heiser, Dynamic Voltage and Frequency Scaling: The Laws of Diminishing Returns, HotPower. USENIX Association, pp.1-8, 2010.

C. Luk, S. Hong, and H. Kim, Qilin: Exploiting Parallelism on Heterogeneous Multiprocessors with Adaptive Mapping, MICRO. ACM, pp.45-55, 2009.

A. Lukefahr, S. Padmanabha, R. Das, F. M. Sleiman, R. G. Dreslinski et al., Exploring Fine-Grained Heterogeneity with Composite Cores, IEEE Trans. Comput, vol.65, pp.535-547, 2016.

C. Margiolas, F. P. Michael, and . O'boyle, Portable and Transparent Software Managed Scheduling on Accelerators for Fair Resource Sharing, CGO, pp.82-93, 2016.

G. Mendonça, B. Guimarães, P. Alves, M. Pereira, G. Araújo et al., DawnCC: Automatic Annotation for Data Parallelism and Offloading, TACO, vol.14, p.25, 2017.

R. Nishtala, P. M. Carpenter, V. Petrucci, and X. , Hipster: Hybrid Task Manager for Latency-Critical Cloud Workloads, HPCA. IEEE, pp.409-420, 2017.

C. Nugteren and H. Corporaal, Bones: An Automatic Skeleton-Based C-to-CUDA Compiler for GPUs, vol.11, p.25, 2014.

V. Petrucci, A. Michael, J. Laurenzano, Y. Doherty, D. Zhang et al., Octopus-man: QoSdriven task management for heterogeneous multicores in warehousescale computers, pp.246-258, 2015.

G. Piccoli, H. N. Santos, R. E. Rodrigues, C. Pousa, E. Borin et al., Compiler Support for Selective Page Migration in NUMA Architectures, PACT, pp.369-380, 2014.

G. Poesia, B. Guimaraes, F. Ferracioli, and F. Pereira, Static Placement of Computation on Heterogeneous Devices, OOPSLA. ACM, pp.1-18, 2017.

K. K. Rangan, . Gu-yeon, D. Wei, and . Brooks, Thread Motion: Fine-grained Power Management for Multi-core Systems, ISCA. ACM, pp.302-313, 2009.

C. J. Rossbach, Y. Yu, J. Currey, J. Martin, and D. Fetterly, Dandelion: A Compiler and Runtime for Heterogeneous Systems, SOSP. ACM, pp.49-68, 2013.

A. Thannirmalai-somu-muthukaruppan, T. Pathania, and . Mitra, Price Theory Based Power Management for Heterogeneous Multi-cores, ASPLOS. ACM, pp.161-176, 2014.

R. S. Sutton and A. G. Barto, Introduction to Reinforcement Learning, 1998.

L. Tang, J. Mars, W. Wang, T. Dey, and M. L. Soffa, ReQoS: Reactive Static/Dynamic Compilation for QoS in Warehouse Scale Computers, ASPLOS. ACM, pp.89-100, 2013.

K. Van-craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer, Scheduling Heterogeneous Multi-cores Through Performance Impact Estimation (PIE), ISCA. IEEE, pp.213-224, 2012.

S. Verdoolaege, J. C. Juega, and A. Cohen, José Ignacio Gómez, Christian Tenllado, and Francky Catthoor, vol.9, p.23, 2013.

M. J. Walker, S. Diestelhorst, A. Hansson, A. K. Das, S. Yang et al., Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs, TCAD, vol.36, pp.106-119, 2016.

A. Yazdanbakhsh, J. Park, H. Sharma, P. Lotfi-kamran, and H. Esmaeilzadeh, Neural acceleration for GPU throughput processors, pp.482-493, 2015.

H. Zhang and H. Hoffmann, Maximizing Performance Under a Power Cap: A Comparison of Hardware, Software, and Hybrid Techniques, ASPLOS. ACM, pp.545-559, 2016.