, The gem5 simulator, 2019.
, Cortus SAS -Advanced Processing Solutions, 2017.
Classy: A clock analysis system for rapid prototyping of embedded applications on mpsocs, Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems, SCOPES '12, pp.3-12, 2012. ,
URL : https://hal.archives-ouvertes.fr/hal-00683822
Autonomic Management of Reconfigurable Embedded Systems using Discrete Control: Application to FPGA, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-00824225
High-level design space exploration for adaptive applications on multiprocessor systems-on-chip, Journal of Systems Architecture -Embedded Systems Design, vol.61, issue.3-4, pp.172-184, 2015. ,
URL : https://hal.archives-ouvertes.fr/hal-01162488
little technology: The future of mobile, 2013. ,
The PARSEC benchmark suite: Characterization and architectural implications, PACT'08, pp.72-81, 2008. ,
Machine learning for run-time energy optimisation in many-core systems, Proceedings of the Conference on Design, Automation & Test in Europe, DATE '17, vol.3001, pp.1592-1596, 2017. ,
How could compile-time program analysis help leveraging emerging nvm features, 2017 First International Conference on Embedded Distributed Systems (EDiS), pp.1-6, 2017. ,
URL : https://hal.archives-ouvertes.fr/hal-01655195
Software-level analysis and optimization to mitigate the cost of write operations on non-volatile memories. Theses, Université Rennes 1, 2018. ,
URL : https://hal.archives-ouvertes.fr/tel-01954076
Compile-time silent-store elimination for energy efficiency: an analytic evaluation for non-volatile cache memory, Proceedings of the RAPIDO 2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, vol.5, 2018. ,
URL : https://hal.archives-ouvertes.fr/hal-01660686
Energy-efficient memory mappings based on partial WCET analysis and multi-retention time STT-RAM, Proceedings of the 26th International Conference on Real-Time Networks and Systems, pp.148-158, 2018. ,
URL : https://hal.archives-ouvertes.fr/hal-01871320
Partial Worst-Case Execution Time Analysis, ComPAS: Conférence en Parallélisme, pp.1-8, 2018. ,
URL : https://hal.archives-ouvertes.fr/hal-01803006
Amd fusion apu: Llano, IEEE Micro, vol.32, issue.2, pp.28-37, 2012. ,
Design exploration for next generation high-performance manycore on-chip systems: Application to big.little architectures, IEEE Computer Society Annual Symposium on VLSI, pp.551-556, 2015. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01255927
Fullsystem simulation of big.little multicore architecture for performance and energy exploration, 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSOC), pp.201-208, 2016. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01418745
Rodinia: A benchmark suite for heterogeneous computing, IISWC, pp.44-54, 2009. ,
Support-vector networks, Machine Learning, vol.20, pp.273-297, 1995. ,
A compiler-centric infrastructure for whole-board energy measurement on heterogeneous android systems, 13th International Symposium on Reconfigurable Communicationcentric Systems-on-Chip, pp.978-979, 2018. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01912850
MAGPIE: System-level Evaluation of Manycore Systems with Emerging Memory Technologies, Workshop on Emerging Memory Solutions -Technology, Manufacturing, Architectures, Design and Test at Design Automation and Test in Europe, 2017. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01467328
Flot automatique d'évaluation pour l'exploration d'architectures à base de mémoires non volatiles, ComPAS: Conférence en Parallélisme, Architecture et Système, Compas'2016 : Parallélisme/ Architecture / Système, 2016. ,
NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory, IEEE Trans. on Computer-Aided Design of Integ. Circ. and Sys, vol.31, issue.7, pp.994-1007, 2012. ,
On the performance exploration of 3d nocs with resistive-open tsvs, IEEE Computer Society Annual Symposium on VLSI, pp.579-584, 2015. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01248588
Design Exploration Framework for 3D-NoC Multicore Systems under Process Variability at RTL level, Research report, LIRMM (UM, CNRS), 2018. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01870671
, Confidentiality: Public Distribution, 2019.
A decision-theoretic generalization of on-line learning and an application to boosting, J. Comput. Syst. Sci, vol.55, issue.1, pp.119-139, 1997. ,
Do Smart Adaptive Systems Exist?, Studies in Fuzziness and Soft Computing, vol.173, pp.57-79, 2005. ,
A model-driven design framework for massively parallel embedded systems, ACM Trans. Embed. Comput. Syst, vol.10, issue.4, p.36, 2011. ,
Empirical Model-Based Performance Prediction for Application Mapping on Multicore Architectures, Journal of Systems Architecture, 2019. ,
Towards energyefficient heterogeneous multicore architectures for edge computing, IEEE Access, vol.7, pp.49474-49491, 2019. ,
Emerging NVM Technologies in Main Memory for Energy-Efficient HPC: an Empirical Study. working paper or preprint, 2019. ,
, HP Labs. McPAT Tool, 2008.
, ITRS. International technology roadmap for semiconductors
Back to the Future: Leveraging Belady's Algorithm for Improved Cache Replacement, Computer Architecture (ISCA), 2016 ACM/IEEE 43rd Annual International Symposium on, pp.78-89, 2016. ,
Introduction to the cell multiprocessor, IBM J. Res. Dev, vol.49, issue.4/5, pp.589-604, 2005. ,
Performance exploration of partially connected 3d nocs under manufacturing variability, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS), pp.61-64, 2014. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01248595
Main memory organization trade-offs with DRAM and STT-MRAM options based on gem5-nvmain simulation frameworks, 2018 Design, Automation & Test in Europe Conference & Exhibition, DATE 2018, pp.103-108, 2018. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01912824
Heterogeneous chip multiprocessors, Computer, vol.38, issue.11, pp.32-38, 2005. ,
A compilation framework for lifelong program analysis & transf, CGO'04, pp.75-88, 2004. ,
Silent stores for free, Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture, vol.33, pp.22-31, 2000. ,
On the use of machine learning to predict the time and resources consumed by applications, Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, CCGRID '10, pp.495-504, 2010. ,
A machine learning approach to mapping streaming workloads to dynamic multicore processors, SIGPLAN Not, vol.51, issue.5, pp.113-122, 2016. ,
A survey of techniques for architecting and managing asymmetric multicore processors, ACM Comput. Surv, vol.48, issue.3, 2016. ,
A survey of architectural approaches for managing embedded dram and non-volatile on-chip caches, IEEE TPDS, vol.26, issue.6, pp.1524-1537, 2015. ,
URL : https://hal.archives-ouvertes.fr/hal-01102387
Compiler-assisted adaptive program scheduling in big.little systems. CoRR, abs/1903.07038, 2019. ,
URL : https://hal.archives-ouvertes.fr/lirmm-02100287
Poster: Compiler-assisted adaptive program scheduling in big.little systems, 24rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPoPP '19, 2019. ,
An soc design methodology using fpgas and embedded microprocessors, Proceedings of the 41st Annual Design Automation Conference, DAC '04, pp.747-752, 2004. ,
Integration of emerging non volatile memory in the cache hierarchy for energyefficiency improvement. Theses, 2018. ,
Improving the performance of STT-MRAM LLC through enhanced cache replacement policy, Architecture of Computing Systems -ARCS 2018 -31st International Conference, vol.10793, pp.168-180, 2018. ,
Static prediction of silent stores, TACO, vol.15, issue.4, 2019. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01912634
, Confidentiality: Public Distribution, 2019.
Nvmain 2.0: A user-friendly memory simulator to model (non-)volatile memory systems, IEEE Computer Architecture Letters, vol.14, issue.2, pp.140-143, 2015. ,
Loop optimization in presence of stt-mram caches: A study of performance-energy tradeoffs, 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.162-169, 2016. ,
Performance and energy assessment of last-level cache replacement policies, 2017 First International Conference on Embedded Distributed Systems (EDiS), pp.1-6, 2017. ,
Modeling of Configurations for Embedded System Implementations in MARTE, 1st workshop on Model Based Engineering for Embedded Systems Design -Design, Automation and Test in Europe, 2010. ,
URL : https://hal.archives-ouvertes.fr/inria-00486845
Automatic annotation of tasks in structured code, Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, PACT 2018, vol.31, pp.1-31, 2018. ,
Potential applications based on nvm emerging technologies, 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp.1012-1017, 2015. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01253332
Emerging non-volatile memory technologies exploration flow for processor architecture, IEEE Computer Society Annual Symposium on VLSI, pp.460-460, 2015. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01253337
Exploring mram technologies for energy efficient systems-on-chip, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.6, issue.3, pp.279-292, 2016. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01419429
Embedded systems to high performance computing using stt-mram, Design, Automation Test in Europe Conference Exhibition (DATE, pp.536-541, 2017. ,
URL : https://hal.archives-ouvertes.fr/lirmm-01548996
Mapping on multi/many-core systems: Survey of current and emerging trends, Design Automation Conference, vol.1, pp.1-1, 2013. ,
Learning-based runtime power and energy management of multi/many-core systems: Current and future trends, J. Low Power Electronics, vol.13, issue.3, pp.310-325, 2017. ,
Daedalus: System-level design methodology for streaming multiprocessor embedded systems on chips, Handbook of Hardware/Software Codesign, pp.1-36, 2017. ,
Exploiting Critical Data Regions to Reduce Data Cache Energy Consumption, Proceedings of the 17th International Workshop on Software and Compilers for Embedded Systems, pp.69-78, 2014. ,
The worst-case execution-time problem—overview of methods and survey of tools, ACM Trans. Embed. Comput. Syst, vol.7, issue.3, 2008. ,
Safe design of high-performance embedded systems in an mde framework, Systems and Software Engineering (ISSE), A NASA Journa, vol.4, 2008. ,
Multiconstraint static scheduling of synchronous dataflow graphs via retiming and unfolding, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, issue.6, pp.905-918, 2016. ,
, Confidentiality: Public Distribution, 2019.