C. Herder, M. Yu, F. Koushanfar, and S. Devadas, Physical unclonable functions and applications: A tutorial, Proceedings of the IEEE, vol.102, issue.8, pp.1126-1141, 2014.

B. Halak, M. Zwolinski, and M. S. Mispan, Overview of PUF-based hardware security solutions for the Internet of Things, 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2016.

U. Chatterjee, R. S. Chakraborty, and D. Mukhopadhyay, A PUFbased secure communication protocol for IoT, ACM Transactions on Embedded Computing Systems (TECS), vol.16, issue.3, pp.1-25, 2017.

T. Mcgrath, I. E. Bagci, Z. M. Wang, U. Roedig, and R. J. Young, A puf taxonomy, Applied Physics Reviews, vol.6, issue.1, p.11303, 2019.

A. Garg and T. T. Kim, Design of SRAM PUF with improved uniformity and reliability utilizing device aging effec, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1941-1944, 2014.

C. Keller, F. Gürkaynak, H. Kaeslin, and N. Felber, Dynamic memory-based physically unclonable function for the generation of unique identifiers and true random numbers, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp.2740-2743, 2014.

B. Cambou and M. Orlowski, PUF designed with Resistive RAM and Ternary States, Proceedings of the 11th, 2016.

, Annual Cyber and Information Security Research Conference, pp.1-8

B. Cambou and M. Orlowski, PUF designed with Resistive RAM and Ternary States, Proceedings of the 11th, 2016.

, Annual Cyber and Information Security Research Conference, pp.1-8

E. I. Vatajelu, G. D. Natale, M. Barbareschi, L. Torres, M. Indaco et al., STT-MRAM-based PUF architecture exploiting magnetic tunnel junction fabrication-induced variability, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol.13, issue.1, pp.1-21, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01234046

Y. Chen, On the hardware implementation of MRAM physically unclonable function, IEEE Transactions on Electron Devices, vol.64, issue.11, pp.4492-4495, 2017.

Y. Gao, D. C. Ranasinghe, S. F. Al-sarawi, O. Kavehei, and D. Abbott, Emerging physical unclonable functions with nanotechnology, IEEE access, vol.4, pp.61-80, 2016.

G. Finocchio, Spin-orbit torque based physical unclonable function, Journal of Applied Physics, vol.128, issue.3, p.33904, 2020.

C. T. ,

G. E. Suh and S. Devadas, Physical unclonable functions for device authentication and secret key generation, 2007 44th ACM/IEEE Design Automation Conference, pp.9-14, 2007.

W. Che, F. Saqib, and J. Plusquellic, PUF-based authentication, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.337-344, 2015.

B. Colombier, L. Bossuet, V. Fischer, and D. Hély, Key reconciliation protocols for error correction of silicon PUF responses, IEEE Transactions on Information Forensics and Security, vol.12, issue.8, 1988.
URL : https://hal.archives-ouvertes.fr/ujm-01575582

C. You and H. Kim, Effect of finite tunneling magnetoresistance for the switching dynamics in the spin transfer torque magnetic tunneling junctions, IEEE Transactions on Magnetics, vol.53, issue.11, pp.1-4, 2017.

B. Jovanovi?, R. M. Brum, and L. Torres, Comparative analysis of MTJ/CMOS hybrid cells based on TAS and in-plane STT magnetic tunnel junctions, IEEE Transactions on Magnetics, vol.51, issue.2, pp.1-11, 2014.

A. Khvalkovskiy, Basic principles of STT-MRAM cell operation in memory arrays, Journal of Physics D: Applied Physics, vol.46, issue.7, p.74001, 2013.

M. E. Baraji, V. Javerliac, W. Guo, G. Prenat, and B. Dieny, Dynamic compact model of thermally assisted switching magnetic tunnel junctions, Journal of Applied Physics, vol.106, issue.12, p.123906, 2009.

A. Jaiswal, X. Fong, and K. Roy, Comprehensive scaling analysis of current induced switching in magnetic memories based on in-plane and perpendicular anisotropies, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.6, issue.2, pp.120-133, 2016.

F. Ouattara, A. Nejat, L. Torres, and K. Mackay, Practical Experiments on Fabricated TAS-MRAM Dies to Evaluate the Stochastic Behavior of Voltage-Controlled TRNGs, IEEE Access, vol.7, pp.59271-59277, 2019.
URL : https://hal.archives-ouvertes.fr/lirmm-02079710

S. Ghosh, R. V. Joshi, D. Somasekhar, and X. Li, Guest Editorial Emerging Memories-Technology, Architecture, and Applications (First Issue), IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.6, issue.2, pp.105-108, 2016.

Y. Chen, On the Hardware Implementation of MRAM Physically Unclonable Function, IEEE Transactions on Electron Devices, vol.64, issue.11, pp.4492-4495, 2017.

S. Senni, L. Torres, G. Sassatelli, A. Gamatie, and B. Mussard, Exploring MRAM technologies for energy efficient systemson-chip, IEEE Journal on emerging and selected topics in circuits and systems, vol.6, issue.3, pp.279-292, 2016.

A. Kumar, S. Sahay, and M. Suri, Switching-time dependent PUF using STT-MRAM, 17th International Conference on Embedded Systems (VLSID), pp.434-438, 2018.

J. Das, K. Scott, S. Rajaram, D. Burgett, and S. Bhanja, MRAM PUF: A novel geometry based magnetic PUF with integrated CMOS, IEEE Transactions on Nanotechnology, vol.14, issue.3, pp.436-443, 2015.

L. Zhang, X. Fong, C. Chang, Z. H. Kong, and K. Roy, Highly reliable memory-based physical unclonable function using spintransfer torque MRAM, 2014 IEEE International Symposium on Circuits and Systems (ISCAS), pp.2169-2172, 2014.

S. Tehrani, Status and outlook of MRAM memory technology, International Electron Devices Meeting, pp.1-4, 2006.

L. Wu, M. Taouil, S. Rao, E. J. Marinissen, and S. Hamdioui, Survey on STT-MRAM Testing: Failure Mechanisms, Fault Models, and Tests, 2020.