R. Kumar, Simply Fabless!, IEEE Solid-State Circuits Magazine, vol.3, issue.4, pp.8-14, 2011.

X. Zhang and M. Tehranipoor, Design of On-Chip Lightweight Sensors for Effective Detection of Recycled ICs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.5, pp.1016-1029, 2014.

J. Rajendran, M. Sam, O. Sinanoglu, and R. Karri, Security analysis of integrated circuit camouflaging, Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security - CCS '13, pp.709-720, 2013.

A. B. Kahng, J. Lach, W. H. Mangione-smith, S. Mantik, I. L. Markov et al., Watermarking techniques for intellectual property protection, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175), pp.776-781

S. Dupuis and M. Flottes, Logic Locking: A Survey of Proposed Methods and Evaluation Metrics, Journal of Electronic Testing, vol.35, issue.3, pp.273-291, 2019.
URL : https://hal.archives-ouvertes.fr/lirmm-02128826

A. Chakraborty, N. G. Jayasankaran, Y. Liu, J. Rajendran, O. Sinanoglu et al., Keynote: A Disquisition on Logic Locking, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.39, issue.10, pp.1952-1972, 2020.

K. Shamsi, M. Li, K. Plaks, S. Fazzari, D. Z. Pan et al., IP Protection and Supply Chain Security through Logic Obfuscation, ACM Transactions on Design Automation of Electronic Systems, vol.24, issue.6, pp.1-36, 2019.

J. Rajendran, H. Zhang, C. Zhang, G. S. Rose, Y. Pino et al., Fault Analysis-Based Logic Encryption, IEEE Transactions on Computers, vol.64, issue.2, pp.410-424, 2015.

M. Yasin, J. J. Rajendran, O. Sinanoglu, and R. Karri, On Improving the Security of Logic Locking, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, issue.9, pp.1411-1424, 2016.

R. Karmakar, H. Kumar, and S. Chattopadhyay, On Finding Suitable Key-Gate Locations In Logic Encryption, 2018 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1-5, 2018.

J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri, Security analysis of logic obfuscation, Proceedings of the 49th Annual Design Automation Conference on - DAC '12, pp.83-89, 2012.

P. Subramanyan, S. Ray, and S. Malik, Evaluating the security of logic encryption algorithms, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp.137-143, 2015.

K. Shamsi, M. Li, T. Meade, Z. Zhao, D. Z. Pan et al., AppSAT: Approximately deobfuscating integrated circuits, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp.95-100, 2017.

K. Z. Azar, H. M. Kamali, H. Homayoun, and A. Sasan, SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond the SAT Attacks, IACR Transactions on Cryptographic Hardware and Embedded Systems, issue.1, pp.97-122, 2018.

M. Yasin, B. Mazumdar, J. J. Rajendran, and O. Sinanoglu, SARLock: SAT attack resistant logic locking, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp.236-241, 2016.

Y. Xie and A. Srivastava, Mitigating SAT Attack on Logic Locking, Lecture Notes in Computer Science, vol.9813, pp.127-146, 2016.

M. Yasin, B. Mazumdar, O. Sinanoglu, and J. Rajendran, Removal Attacks on Logic Locking and Camouflaging Techniques, IEEE Transactions on Emerging Topics in Computing, vol.8, issue.2, pp.517-532, 2020.

L. Wang, X. Wen, and K. S. Abdel-hafez, Design for Testability, VLSI Test Principles and Architectures, pp.37-103, 2006.

G. Di-natale, M. Flottes, B. Rouzeyre, and P. Pugliesi-conti, Manufacturing Testing and Security Countermeasures, Hardware Security and Trust, pp.127-148, 2017.

M. E. Massad, S. Garg, and M. Tripunitara, Reverse engineering camouflaged sequential circuits without scan access, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.33-40, 2017.

K. Shamsi, M. Li, D. Z. Pan, and Y. Jin, KC2: Key-Condition Crunching for Fast Sequential Circuit Deobfuscation, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.534-539, 2019.

L. Alrahis, M. Yasin, N. Limaye, H. Saleh, B. Mohammad et al., ScanSAT: Unlocking Static and Dynamic Scan Obfuscation, IEEE Transactions on Emerging Topics in Computing, pp.1-1, 2019.

E. Valea, M. Da-silva, G. Di-natale, M. Flottes, and B. Rouzeyre, A Survey on Security Threats and Countermeasures in IEEE Test Standards, IEEE Design & Test, vol.36, issue.3, pp.95-116, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02166858

M. Yasin, S. Mohamed-saeed, J. (jv)-rajendran, and O. Sinanoglu, Activation of Logic Encrypted Chips: Pre-Test or Post-Test?, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.139-144, 2016.

U. Guin, Z. Zhou, and A. Singh, Robust Design-for-Security Architecture for Enabling Trust in IC Manufacturing and Test, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.26, issue.5, pp.818-830, 2018.

R. Karmakar, S. Chatopadhyay, and R. Kapur, Latch and Flip-Flop, Digital Electronics 2, pp.1-50, 2016.

F. Corno, M. S. Reorda, and G. Squillero, RT-level ITC'99 benchmarks and first ATPG results, IEEE Design & Test of Computers, vol.17, issue.3, pp.44-53, 2000.

, Design for Test, Advanced ASIC Chip Synthesis Using Synopsys® Design Compiler? Physical Compiler? and PrimeTime®, pp.151-173

, https://kujss.iraqjournals.com/pdf_166170_8dd024058ce4abb6c364bec514cecef8.html, Kirkuk University Journal-Scientific Studies, vol.15, issue.2, pp.1-16, 2020.

G. Sengar, D. Mukhopadhyay, and D. R. Chowdhury, Secured Flipped Scan-Chain Model for Crypto-Architecture, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.11, pp.2080-2084, 2007.

D. Hely, F. Bancel, M. Flottes, and B. Rouzeyre, Test Control for Secure Scan Designs, European Test Symposium (ETS'05), pp.190-195
URL : https://hal.archives-ouvertes.fr/lirmm-00106011

X. Wang, D. Zhang, M. He, D. Su, and M. Tehranipoor, Secure Scan and Test Using Obfuscation Throughout Supply Chain, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.37, issue.9, pp.1867-1880, 2018.

N. Limaye, A. Sengupta, M. Nabeel, and O. Sinanoglu, Is Robust Design-for-Security Robust Enough? Attack on Locked Circuits with Restricted Scan Chain Access, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.1-8, 2019.