Power Supply Noise: A Survey on Effects and Research, IEEE Design & Test of Computers, vol.27, issue.2, pp.51-67, 2010. ,
DOI : 10.1109/MDT.2010.52
A study of path delay variations in the presence of uncorrelated power and ground supply noise, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, pp.164-170, 2011. ,
DOI : 10.1109/DDECS.2011.5783078
URL : https://hal.archives-ouvertes.fr/lirmm-00592000
Coping with buffer delay change due to power and ground noise, Proc. 39th Design Autom. Conf, pp.860-865, 2002. ,
Static timing analysis including power supply noise effect on propagation delay in VLSI circuits, Proceedings of the 38th conference on Design automation , DAC '01, pp.295-300, 2001. ,
DOI : 10.1145/378239.378489
Vectorless analysis of supply noise induced delay variation, Proc ,
Worst-case circuit delay taking into account power supply variations, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.652-657, 2004. ,
DOI : 10.1145/996566.996745
Static timing analysis considering power supply variations, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005., pp.365-371, 2005. ,
DOI : 10.1109/ICCAD.2005.1560095
Timing analysis in presence of power supply noise and ground voltage variations, Proc. EEE Int. Conf. Comput.- Aided Design, pp.1-8, 2003. ,
Gate delay estimation in STA under dynamic power supply noise, Proc. 15th Asia South Pacific Design Autom. Conf, pp.775-780, 2010. ,
Path selection and pattern generation for dynamic timing analysis considering power supply noise effects, Proc. IEEE Int. Conf. Comput. Aided Design, pp.493-496, 2000. ,
Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths, 2009 27th IEEE VLSI Test Symposium, pp.221-226, 2009. ,
DOI : 10.1109/VTS.2009.45
Supply Voltage Noise Aware ATPG for Transition Delay Faults, 25th IEEE VLSI Test Symmposium (VTS'07), pp.179-186, 2007. ,
DOI : 10.1109/VTS.2007.77
Power-supply noise in SoCs: ATPG, estimation and control, IEEE International Conference on Test, 2005., pp.505-516, 2005. ,
DOI : 10.1109/TEST.2005.1584011
Pattern generation for delay testing and dynamic timing analysis considering power-supply noise effects, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.20, issue.3, pp.416-425, 2001. ,
DOI : 10.1109/43.913759
Design and validation of Pentium III and Pentium 4 processors power delivery, Symp, pp.220-223, 2002. ,
Power grid physics and implications for CAD, Proc. Design Autom. Conf, pp.199-204, 2006. ,
Interconnect and circuit modeling techniques for full-chip power supply noise analysis, IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part B, vol.21, issue.3, pp.209-215, 1998. ,
DOI : 10.1109/96.704931
Fundamentals of Parallel Logic Simulation, 23rd ACM/IEEE Design Automation Conference, pp.2-12, 1986. ,
DOI : 10.1109/DAC.1986.1586061
Introduction to Circuit Analysis, mentorgraphics.com [21] ISE Simulator, 2000. ,
Mountain View, CA [Online] Available: http://www.synopsys, PrimeTime.aspx 970 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 2012. ,
High quality robust tests for path delay faults, Proc. IEEE VLSI Test Symp, pp.88-93, 1997. ,
Self-checking instructions, Proceedings of the 15th international conference on Parallel architectures and compilation techniques , PACT '06, pp.64-73, 2006. ,
DOI : 10.1145/1152154.1152168
PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures, IEEE Transactions on Dependable and Secure Computing, vol.6, issue.2, pp.135-148, 2009. ,
DOI : 10.1109/TDSC.2008.62
Technology scaling trends and accelerated testing for soft errors in commercial silicon devices, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., 2003. ,
DOI : 10.1109/OLT.2003.1214358
Tackling variability and reliability challenges, IEEE Design and Test of Computers, vol.23, issue.6, p.520, 2006. ,
DOI : 10.1109/MDT.2006.156
Thousand core chips, Proceedings of the 44th annual conference on Design automation, DAC '07, pp.746-749, 2007. ,
DOI : 10.1145/1278480.1278667
Physics-based simulation of single-event effects, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.343-357, 2005. ,
DOI : 10.1109/TDMR.2005.855826
Logic soft errors a major barrier to robust platform design, IEEE International Conference on Test, 2005., pp.10-696, 2005. ,
DOI : 10.1109/TEST.2005.1584031
Single event upset at ground level, IEEE Transactions on Nuclear Science, vol.43, issue.6, pp.2742-2750, 1996. ,
DOI : 10.1109/23.556861
Soft Errors in Commercial Semiconductor Technology: Overview and Scaling Trends, Proc. IEEE Reliability Physics Tutorial Notes, Reliability Fundamentals, 2002. ,
Analysis and Design of Soft-Error Hardened Latches Single-Event Upset Analysis and Protection in High Speed Circuits, Proc. 15th ACM Great Lakes Symp. VLSI Proc. IEEE 11th European Test Symp. (ETS '06), pp.328-331, 2005. ,
A 1.3GHz fifth generation SPARC64 microprocessor, Proceedings of the 40th conference on Design automation , DAC '03, pp.702-705, 2003. ,
DOI : 10.1145/775832.776010
URL : https://hal.archives-ouvertes.fr/hal-01265154
A watchdog processor to detect data and control flow errors, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pp.144-148, 2003. ,
DOI : 10.1109/OLT.2003.1214381
On-line Instruction- Checking in Pipelined Microprocessors, Proc. 17th Asian Test Symp. (ATS '08), pp.377-382, 2008. ,
URL : https://hal.archives-ouvertes.fr/lirmm-00363689
Control-flow checking via regular expressions, Proceedings 10th Asian Test Symposium, pp.299-303, 2001. ,
DOI : 10.1109/ATS.2001.990300
Promon: A Profile Monitor of Software Applications, Proc. IEEE Eighth Int'l Workshop Design and Diagnostics of Electronic Circuits and Systems (DDECS), pp.81-86, 2005. ,
SEU effect analysis in a open-source router via a distributed fault injection environment, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.219-223, 2001. ,
DOI : 10.1109/DATE.2001.915028
Validation of a software dependability tool via fault injection experiments, Proceedings Seventh International On-Line Testing Workshop, pp.3-8, 2001. ,
DOI : 10.1109/OLT.2001.937809
Software dependability techniques validated via fault injection experiments, RADECS 2001. 2001 6th European Conference on Radiation and Its Effects on Components and Systems (Cat. No.01TH8605), pp.269-274, 2001. ,
DOI : 10.1109/RADECS.2001.1159292
A model for transient fault propagation in combinatorial logic, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003., pp.111-115, 2003. ,
DOI : 10.1109/OLT.2003.1214376
Techniques for transient fault sensitivity analysis and reduction in VLSI circuits, Proceedings. 16th IEEE Symposium on Computer Arithmetic, p.597, 2003. ,
DOI : 10.1109/DFTVS.2003.1250160
A systematic approach to SER estimation and solutions, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual., pp.60-70, 2003. ,
DOI : 10.1109/RELPHY.2003.1197722
Partial error masking to reduce soft error failure rate in logic circuits, Proceedings. 16th IEEE Symposium on Computer Arithmetic, p.433, 2003. ,
DOI : 10.1109/DFTVS.2003.1250141
Cost-effective approach for reducing soft error failure rate in logic circuits, International Test Conference, 2003. Proceedings. ITC 2003., pp.893-901, 2003. ,
DOI : 10.1109/TEST.2003.1271075
Accurate and Efficient Analysis of Single Event Transients in VLSI Circuits, Proc. IEEE Int'l On-Line Testing Symp, pp.101-105, 2003. ,
Modeling the effect of technology trends on the soft error rate of combinational logic, Proceedings International Conference on Dependable Systems and Networks, pp.389-398, 2002. ,
DOI : 10.1109/DSN.2002.1028924
Soft Error Sensitivity Characterization for Microprocessor Dependability Enhancement Strategy, Proc. Int'l Conf. Dependable Systems and Networks, pp.416-428, 2002. ,
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.29-40, 2003. ,
DOI : 10.1109/MICRO.2003.1253181
Measuring architectural vulnerability factors, IEEE Micro, vol.23, issue.6, pp.70-75, 2003. ,
DOI : 10.1109/MM.2003.1261389
Characterizing the effects of transient faults on a high-performance processor pipeline, International Conference on Dependable Systems and Networks, 2004, p.61, 2004. ,
DOI : 10.1109/DSN.2004.1311877
Techniques to Reduce the Soft Error Rate of a High-Performance Microprocessor, Proc. 31st Ann. Int'l Symp. Computer Architecture, pp.264-275, 2004. ,
Online Estimation of Architectural Vulnerability Factor for Soft Errors, Proc. 35th Int'l Symp. Computer Architecture, pp.341-352, 2008. ,
SoftArch: an architecture-level tool for modeling and analyzing soft errors, 2005 International Conference on Dependable Systems and Networks (DSN'05), pp.496-505, 2005. ,
DOI : 10.1109/DSN.2005.88
Using pvf Traces to Accelerate avf Modeling, Proc. IEEE Workshop Silicon Errors in Logic System Effects, 2010. ,
Evaluating the Effects of Compiler Optimization on Avf, Proc. Workshop the Interaction between Compilers and Computer Architecture (INTERACT), 2008. ,
Static analysis of SEU effects on software applications, Proceedings. International Test Conference, pp.500-508, 2002. ,
DOI : 10.1109/TEST.2002.1041800
Characterization of soft errors caused by single event upsets in CMOS processes, IEEE Transactions on Dependable and Secure Computing, vol.1, issue.2, pp.128-143, 2004. ,
DOI : 10.1109/TDSC.2004.14
Logic soft errors in sub-65nm technologies design and CAD challenges, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.2-4, 2005. ,
DOI : 10.1145/1065579.1065585
e-Handbook of Statistical Methods, 2011. ,
Efficient program tracing, Computer, vol.26, issue.5, pp.52-61, 1993. ,
DOI : 10.1109/2.211900
LIFTING: A Flexible Open-Source Fault Simulator, 2008 17th Asian Test Symposium, pp.35-40, 2008. ,
DOI : 10.1109/ATS.2008.17
URL : https://hal.archives-ouvertes.fr/lirmm-00343610
Failure diagnosis of structured VLSI, IEEE Design & Test of Computers, vol.6, issue.4, pp.49-60, 1989. ,
DOI : 10.1109/54.32421
On pass/fail dictionaries for scan circuits, Proceedings 10th Asian Test Symposium, pp.51-56, 2001. ,
DOI : 10.1109/ATS.2001.990258
On dictionary-based fault location in digital logic circuits, IEEE Transactions on Computers, vol.46, issue.1, pp.48-59, 1997. ,
DOI : 10.1109/12.559802
Critical Path Tracing: An Alternative to Fault Simulation, IEEE Design & Test of Computers, vol.1, issue.1, pp.83-92, 1984. ,
DOI : 10.1109/MDT.1984.5005582
An improved fault diagnosis algorithm based on path tracing with dynamic circuit extraction, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.235-244, 2000. ,
DOI : 10.1109/TEST.2000.894211
Poirot: applications of a logic fault diagnosis tool, IEEE Design & Test of Computers, vol.18, issue.1, pp.19-30, 2001. ,
DOI : 10.1109/54.902819
A Logic Diagnosis Methodology for Improved Localization and Extraction of Accurate Defect Behavior, 2006 IEEE International Test Conference, pp.1-10, 2006. ,
DOI : 10.1109/TEST.2006.297627
Improving Precision Using Mixed-level Fault Diagnosis, 2006 IEEE International Test Conference, pp.1-10, 2006. ,
DOI : 10.1109/TEST.2006.297661
Incremental fault diagnosis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.2, pp.240-251, 2005. ,
DOI : 10.1109/TCAD.2004.841070
A Novel Stuck-at Based Method for Transistor Stuck-Open Fault Diagnosis, Proc. IEEE Int'l Test Conf, pp.1-4, 2005. ,
Stuck-Open Fault Diagnosis with Stuck-at Model, Proc. IEEE European Test Symp, pp.182-187, 2005. ,
Simulating Resistive Bridging and Stuck-at Faults, Proc. IEEE Int'l Test Conf, pp.1051-1059, 2003. ,
URL : https://hal.archives-ouvertes.fr/lirmm-00269611
Diagnosing realistic bridging faults with single stuck-at information, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.17, issue.3, pp.255-267, 1998. ,
DOI : 10.1109/43.700723
Diagnosing Arbitrary Defects in Logic Designs Using Single Location at a Time (SLAT), Proc. IEEE Int'l Test Conf, pp.91-101, 2002. ,
DOI : 10.1109/TCAD.2003.816206
Adaptive Debug and Diagnosis without Fault Dictionaries, Proc. IEEE European Test Symp, pp.7-12, 2007. ,
DERRIC: A Tool for Unified Logic Diagnosis, 12th IEEE European Test Symposium (ETS'07), pp.13-20, 2007. ,
DOI : 10.1109/ETS.2007.16
URL : https://hal.archives-ouvertes.fr/lirmm-00155993
Delay-fault diagnosis by critical-path tracing, IEEE Design & Test of Computers, vol.9, issue.4, pp.27-32, 1992. ,
DOI : 10.1109/54.173329
Multiple Fault Diagnosis in Combinational Circuits Based on an Effect-Cause Analysis, IEEE Transactions on Computers, vol.29, issue.6, pp.451-460, 1980. ,
DOI : 10.1109/TC.1980.1675604
Fast Bridging Fault Diagnosis using Logic Information, 16th Asian Test Symposium (ATS 2007), pp.33-38, 2007. ,
DOI : 10.1109/ATS.2007.75
URL : https://hal.archives-ouvertes.fr/lirmm-00179259
IEEE 1500 utilization in SOC design and test, IEEE International Conference on Test, 2005., pp.543-552, 2005. ,
DOI : 10.1109/TEST.2005.1584015
A P1500 compliant BIST-based approach to embedded RAM diagnosis, Proceedings 10th Asian Test Symposium, pp.97-102, 2001. ,
DOI : 10.1109/ATS.2001.990266
A Unified DFT Verification Methodology, Proc. IP-Based SoC Design (IP/SOC 05), 2005. ,
Towards an IEEE P1500 Verification Infrastructure: A Comprehensive Approach, Proc. 3rd IEEE Int'l Workshop on Infrastructure IP, pp.22-30, 2005. ,
Coverage Driven Verification of IEEE P1500-Compliant Embedded Core Test Infrastructures, Globetech Solutions, 2005. ,
IEEE Standard 1500 Compliance Verification for Embedded Cores, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, issue.4, pp.397-407, 2008. ,
DOI : 10.1109/TVLSI.2008.917412
1647, Functional Verification Language, 2006. ,
Compiler Construction, 1996. ,
Functional Verification Coverage Measurement and Analysis, 2004. ,
Prinetto are with the Department of Control and Computer Engineering, Politecnico di Torino, Corso Duca degli Abruzzi 24, I-10129 Torino, Italy. E-mail: {alfredo.benso, stefano.dicarlo, paolo.prinetto}@polito.it, Di Natale are with the Laboratoire d'Informatique ,
Using march tests to test SRAMs, IEEE Design & Test of Computers, vol.10, issue.1, pp.8-14, 2004. ,
DOI : 10.1109/54.199799
Functional memory faults: a formal notation and a taxonomy, Proceedings 18th IEEE VLSI Test Symposium, pp.281-289, 2000. ,
DOI : 10.1109/VTEST.2000.843856
Importance of dynamic faults for new SRAM technologies, The Eighth IEEE European Test Workshop, 2003. Proceedings. ,
DOI : 10.1109/ETW.2003.1231665
Linked Faults in Random Access Memories: Concept, Fault Models, Test Algorithms, and Industrial Results, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.5, pp.737-757, 2004. ,
DOI : 10.1109/TCAD.2004.826578
The Automatic Generation of March Tests, Proc. IEEE Int'l Workshop Memory Technology, Design and Testing (MTDT '94), pp.86-91, 1994. ,
Automatic generation and compaction of March tests for memory arrays, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.9, issue.6, pp.845-857, 2001. ,
DOI : 10.1109/92.974898
An Efficient Methodology for Generating Optimal and Uniform March Tests, Proc. 19th IEEE VLSI Test Symp. (VTS '01), pp.231-237, 2001. ,
Generating Complete and Optimal March Tests for Linked Faults in Memories, Proc. 21st IEEE VLSI Test Symp. (VTS '03), pp.254-261, 2003. ,
Automatic generation of diagnostic memory tests based on fault decomposition and output tracing, IEEE Transactions on Computers, vol.53, issue.9, pp.1134-1146, 2004. ,
DOI : 10.1109/TC.2004.54
Simulation- Based Test Algorithm Generation for Random Access Memories, Proc. 18th IEEE VLSI Test Symp. (VTS '00), pp.291-296, 2000. ,
Ramses: A Fast Memory Fault Simulator, Proc. IEEE Int'l Symp. Defect and Fault Tolerance in VLSI Systems (DFT '99), pp.165-296, 1999. ,
An Optimal Algorithm for the Automatic Generation of March Tests, Proc. Design, Automation and Test in Europe Conf. and Exhibition (DATE '02), pp.938-939, 2002. ,
Automatic March Tests Generation for Static and Dynamic Faults in SRAMs, European Test Symposium (ETS'05), pp.122-127, 2005. ,
DOI : 10.1109/ETS.2005.8
A systematic method for modifying march tests for bit-oriented memories into tests for word-oriented memories, IEEE Transactions on Computers, vol.52, issue.10, pp.1320-1331, 2003. ,
DOI : 10.1109/TC.2003.1234529
A model for sequential machine testing and diagnosis, Journal of Electronic Testing, vol.1, issue.3, pp.219-234, 1992. ,
DOI : 10.1007/BF00134732
A realistic fault model and test algorithms for static random access memories, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.9, issue.6, pp.567-572, 1990. ,
DOI : 10.1109/43.55188
Slow Write Driver Faults in 65 nm SRAM Technology: Analysis and March Test Solution, Proc. Design, Automation and Test in Europe Conf. and Exhibition (DATE '07), pp.1-6, 2007. ,
URL : https://hal.archives-ouvertes.fr/lirmm-00187037
March SS: a test for all static simple RAM faults, Proceedings of the 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT2002), pp.95-100, 2002. ,
DOI : 10.1109/MTDT.2002.1029769
Testing static and dynamic faults in random access memories, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), pp.395-400, 2002. ,
DOI : 10.1109/VTS.2002.1011170
New March Tests for Unlinked Dynamic Memory Faults, Proc. IEEE Int'l Test Conf. (ITC '05), pp.834-841, 2005. ,
Automatic March Tests Generations for Static Linked Faults in SRAMs, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006. ,
DOI : 10.1109/DATE.2006.244097
Minimal March Test Algorithm for Detection of Linked Static Faults in Random Access Memories, 24th IEEE VLSI Test Symposium, pp.120-125, 2006. ,
DOI : 10.1109/VTS.2006.46
Specification and design of a new memory fault simulator, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)., pp.92-97, 2002. ,
DOI : 10.1109/ATS.2002.1181693
Memory Fault Simulator for Static-Linked Faults, 2006 15th Asian Test Symposium, pp.31-36, 2006. ,
DOI : 10.1109/ATS.2006.260989
Systematic defects in deep sub-micron technologies, 2004 International Conferce on Test, pp.290-299, 2005. ,
DOI : 10.1109/TEST.2004.1386963
Intel and the myths of test, IEEE Design & Test of Computers, vol.13, issue.1, pp.79-81, 1996. ,
DOI : 10.1109/54.485786
Towards a World Without Test Escapes: The Use of Volume Diagnosis to Improve Test Quality, 2008 IEEE International Test Conference, pp.1-10, 2008. ,
DOI : 10.1109/TEST.2008.4700604
Defect-oriented cell-aware ATPG and fault simulation for industrial cell libraries and designs, 2009 International Test Conference, pp.1-10, 2009. ,
DOI : 10.1109/TEST.2009.5355741
Defect-oriented cell-internal testing, 2010 IEEE International Test Conference, pp.1-10, 2010. ,
DOI : 10.1109/TEST.2010.5699229
An Experimental Study of N-Detect Scan ATPG Patterns on a Processor, 22nd IEEE VLSI Test Symposium, 2004. Proceedings., pp.23-28, 2004. ,
DOI : 10.1109/VTEST.2004.1299221
Gate exhaustive testing, IEEE International Conference on Test, 2005., pp.7-777, 2005. ,
DOI : 10.1109/TEST.2005.1584040
Abcs of emission microscopy. Electronic Device Failure Analysis, pp.13-20, 2003. ,
Extending gate-level diagnosis tools to CMOS intra-gate faults, IET Computers & Digital Techniques, vol.1, issue.6, pp.685-693, 2007. ,
DOI : 10.1049/iet-cdt:20060206
Efficient and accurate method for intra-gate defect diagnoses in nanometer technology and volume data. Design, Automation Test in Europe Conference Exhibition, pp.988-993, 2009. ,
Skewed-Load Transition Test: Part I, Calculus, Proceedings International Test Conference 1992, pp.705-715, 1992. ,
DOI : 10.1109/TEST.1992.527892
Broad-side delay test, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.8, pp.1057-1064, 1994. ,
DOI : 10.1109/43.298042
Low Cost Launch-on-Shift Delay Test with Slow Scan Enable, Eleventh IEEE European Test Symposium (ETS'06), pp.9-14, 2006. ,
DOI : 10.1109/ETS.2006.29
A case study of ir-drop in structured at-speed testing, International Test Conference, 2003. Proceedings. ITC 2003., pp.1098-1104, 2003. ,
DOI : 10.1109/TEST.2003.1271098
Power Supply Noise in SoCs: Metrics, Management, and Measurement, IEEE Design & Test of Computers, vol.24, issue.3, pp.236-244, 2007. ,
DOI : 10.1109/MDT.2007.79
A low power and low cost scan test architecture for multi-clock domain socs using virtual divide and conquer, IEEE International Conference on Test, 2005., pp.10-1109, 2005. ,
DOI : 10.1109/TEST.2005.1583995
Power-Aware Testing and Test Strategies for Low Power Devices, 2010. ,
DOI : 10.1007/978-1-4419-0928-2
URL : https://hal.archives-ouvertes.fr/lirmm-00820650
Minimizing power consumption in scan testing: pattern generation and DFT techniques, 2004 International Conferce on Test, pp.355-364, 2004. ,
DOI : 10.1109/TEST.2004.1386971
Power Analysis and Reduction Techniques for Transition Fault Testing, 2008 17th Asian Test Symposium, pp.403-408, 2008. ,
DOI : 10.1109/ATS.2008.86
Low capture power at-speed test in EDT environment, 2010 IEEE International Test Conference, pp.1-10, 2010. ,
DOI : 10.1109/TEST.2010.5699275
At-speed scan test with low switching activity, 2010 28th VLSI Test Symposium (VTS), pp.177-182, 2010. ,
DOI : 10.1109/VTS.2010.5469580
Generating power-hungry test programs for power-aware validation of pipelined processors, Proceedings of the 23rd symposium on Integrated circuits and system design, SBCCI '10, pp.61-66, 2010. ,
DOI : 10.1145/1854153.1854171
Advanced Test Methods for SRAMs Effective Solutions for Dynamic Fault Detection in Nanoscale Technologies, 2009. ,
Testing and Diagnosis of Power Switches in SOCs, Eleventh IEEE European Test Symposium (ETS'06), p.47, 2006. ,
DOI : 10.1109/ETS.2006.47
Improved DFT for Testing Power Switches, 2011 Sixteenth IEEE European Test Symposium, pp.7-12, 2011. ,
DOI : 10.1109/ETS.2011.63
Essentials of Electronic Testing for Digital Memory and Mixed Signal VLSI Circuit, 1999. ,
A fast and effective dft for test and diagnosis of power switches in socs, Design Automation Test in Europe Conference Exhibition, pp.1089-1092, 2013. ,
A Logic Diagnosis Methodology for Improved Localization and Extraction of Accurate Defect Behavior, 2006 IEEE International Test Conference, pp.1-10, 2006. ,
DOI : 10.1109/TEST.2006.297627
Improving precision using mixedlevel fault diagnosis, IEEE International Test Conference, pp.1-10, 2006. ,
An Enhanced Strategy for Functional Stress Pattern Generation for System-on-Chip Reliability Characterization, 2010 11th International Workshop on Microprocessor Test and Verification, pp.29-34, 2010. ,
DOI : 10.1109/MTV.2010.14
Automatic test program generation: a case study, IEEE Design & Test of Computers, vol.21, issue.2, pp.102-109, 2004. ,
DOI : 10.1109/MDT.2004.1277902
On the Automation of the Test Flow of Complex SoCs, 24th IEEE VLSI Test Symposium, 2006. ,
DOI : 10.1109/VTS.2006.51
Leakage power analysis and reduction: models, estimation and tools, IEE Proceedings Computers and Digital Techniques, pp.353-368, 2005. ,
DOI : 10.1049/ip-cdt:20045084
Low-voltage memories for power-aware systems, Proceedings of the 2002 International Symposium on Low Power Electronics and Design, pp.1-6, 2002. ,
DOI : 10.1109/lpe.2002.146699
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.474.393
Techniques to extend canary-based standby vdd scaling for srams to 45 nm and beyond, IEEE Journal of Solid-State Circuits, vol.43, issue.11, pp.2514-2523, 2008. ,
A 4.0 ghz 291 mb voltage-scalable sram design in a 32 nm high-k + metal-gate cmos technology with integrated power management, IEEE Journal of Solid-State Circuits, vol.45, issue.1, pp.103-110, 2009. ,
Memory Fault Modeling Trends: A Case Study, Journal of Electronic Testing, vol.20, issue.3, pp.245-255, 2004. ,
DOI : 10.1023/B:JETT.0000029458.57095.bb