, Chapitre 3
, 60) O9GL = ?'20_3-0 ?¸M;rLHPZ /NG.60?O9GL 60?O9GL + ?'20_3-0 9:;:LHPZ /NG.60?O9GL 60?O9GL (3.1)
, 20_3-0 ?¸M;rLHPZ
,
,
, LHPZ?O9GLLHPZ?LHPZ?O9GL = 7 × ?*LHPZ?LMdLHPZ?LHPZ?LMd (eÇtJ1) + 6, pp.0-3
, ,1) ) = Õ(? L ) T + (? L ) T (3.5)
, !06 ?^_1 (³ ßß ) = {!06 ?^_1 (³ ßß ) i ~ .R³ > ? > !06 ?^_1 (³ ßß ) e > ? > !06 ?^_1 (³ ßß ) Ç ~R³ } (3.8)
,
, !06 (³ ßß ) Xänä = \ MO'r ¯R ?!06 ?^_1 (³ ßß
, ßß ) ) ? min (!06 (³ ßß ) )][ + min, p.6
, ßß ) e , !06 ?^_1 (³ ßß ) e )
, ?^_1 (³ ßß ) e ) T + (!06 ?^_1 (³ ßß ) e ) T (3.14)
, 545 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ?,wyyzeÇ?wyyzeÇ?, p.545
,
³ å?? ~¯R³ ) = 1, 2^_1 I06 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ?,wyyzeÇ?wyyzeÇ?, pp.2-3 ,
545 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ??wyyzeÇ?wyyzeÇ?? = 100 × [1 ? 2^_1 Q]-` `545 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) ,
, 545 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ??wyyzeÇ?wyyzeÇ?? 2^_1 Q]-` `545 (³ ßß ~ .= ³ ,³ å?? ~¯R³ ) ? = 100 ×, 545 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ?]wyyzeÇ?wyyzeÇ?
M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) Xänä wyyzeÇ??wyyzeÇ?wyyzeÇ?? = 100 × [1 ? 2^_1 I06 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~(³ å?? ) ,
, å?? ) Xänä wyyzeÇ??wyyzeÇ?wyyzeÇ?? 2^_1 I06 (³ ßß ~R³ ,³ å?? ~ ³ ) ? = 100 × [2^_1 I06 ?³ ßß ~rZ;M (³ ßß ) Xänä ,³ å?? ~
, Q0_50 I06) GOr? Ü88£ = 100 × (I06 GOr? Ü88£ ) ? (I06 GOr? Ü88~ ) (I06) GOr? Ü88~
, 545) GOr? Ü88£ = 100, 545 GOr? Ü88~ ) ? (Q]-` `545 GOr? Ü88£ )
, Références 181
, Références
, RT-Level ITC 99 Benchmarks and First ATPG Results, IEEE Design and Test of Computers, 2000.
SSTA Framework Based on Moments Propagation, Thèse, 2009. ,
URL : https://hal.archives-ouvertes.fr/tel-00471241
Conception et Vérification des circuits CMOS Digitaux Basées sur les Statistiques : Application à l'évaluation des Marges Temporelles de Conception, Thèse, 2007. ,
Efficient full-chip thermal modeling and analysis, Proc.ICCAD, pp.319-326, 2004. ,
Models of Process Variations in Device and Interconnect " , Design of High Performance Microprocessor Circuit, 2000. ,
Predicting circuit performance using circuit-level statistical timing analysis, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.332-337, 1994. ,
DOI : 10.1109/EDTC.1994.326856
Statistical timing analysis with two-sided constraints, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005., pp.828-835, 2005. ,
DOI : 10.1109/ICCAD.2005.1560178
The Count of Monte Carlo, TAU, 2004. ,
Critically aware latin hypercube sampling for efficient statistical timing analysis, 2007. ,
Statistical Timing Analysis Considering Spatial Correlations Using a Single Pert-like Traversal, Proc. ICCAD, pp.621-625, 2003. ,
Fast Second-Order Statistical Static Timing Analysis Using Parameter Dimension Reduction, Proc. DAC, pp.244-249, 2007. ,
DOI : 10.1145/1278480.1278540
Statistical timing analysis based on a timing yield model, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.46-465, 2004. ,
DOI : 10.1145/996566.996696
Models of Process Variations in Device and Interconnect " , Design of High Performance Microprocessor Circuit, 2000. ,
Statistical Timing Analysis Considering Spatial Correlations Using a Single Pert-like Traversal, Proc. ICCAD, pp.621-625, 2003. ,
Statistical timing analysis for intra-die process variations with spatial correlations, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.900-907, 2003. ,
DOI : 10.1109/ICCAD.2003.159781
URL : http://www.cs.york.ac.uk/rts/docs/SIGDA-Compendium-1994-2004/papers/2003/iccad03/pdffiles/11d_1.pdf
First-order incremental block-based statistical timing analysis, Proc. DAC, pp.331-336, 2004. ,
DOI : 10.1109/tcad.2005.862751
URL : http://cs.kaist.ac.kr/~sjhong/Papers2006/K6 Walker dac04.pdf
Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.83-88, 2005. ,
DOI : 10.1145/1065579.1065606
URL : http://www2.dac.com/data2/42nd/42acceptedpapers.nsf/0c4c09c6ffa905c487256b7b007afb72/37d0680d4e6dbbd887256fc40070dbb7/$file/7_3.pdf
A general framework for accurate statistical timing analysis considering correlations, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.89-94, 2005. ,
DOI : 10.1145/1065579.1065607
URL : http://www.ece.umd.edu/~vishalk/DAC05_sta.pdf
Non-linear statistical static timing analysis for non-Gaussian variation sources, Proc.DAC, pp.250-255, 2007. ,
DOI : 10.1109/dac.2007.375162
Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.71-76, 2005. ,
DOI : 10.1145/1065579.1065604
Statistical timing analysis with correlated non-gaussian parameters using independent component analysis, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.155-160, 2006. ,
DOI : 10.1145/1146909.1146953
URL : http://www2.dac.com/data2/43rd/43acceptedpapers.nsf/0c4c09c6ffa905c487256b7b007afb72/050c3e80b3e1e4d6872571550077af45/$file/10_2.pdf
The Greatest of a Finite Set of Random Variables, Operations Research, vol.9, issue.2, pp.145-162, 1961. ,
DOI : 10.1287/opre.9.2.145
Adjustment-based modeling for statistical static timing analysis with high dimension of variability, Proc.ICCAD, pp.181-184, 2008. ,
Statistical Analysis and Optimization for VLSI : Timing and Power, Chapter 3, 2005. ,
Consommation statique dans les circuits numériques en CMOS 32nm : Analyse et méthodologie pour une estimation statistique au niveau porte, Thèse, 2013. ,
, System Drivers, ITRS
Parameter variations and impact on circuits and microarchitecture, Proceedings of the 40th conference on Design automation , DAC '03, pp.338-342, 2003. ,
DOI : 10.1145/775832.775920
Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.535-540, 2005. ,
DOI : 10.1145/1065579.1065718
Full-chip analysis of leakage power under process variations, including spatial correlations, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.523-528, 2005. ,
DOI : 10.1145/1065579.1065716
Full-chip leakage analysis in nano-scale technologies, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.594-599, 2009. ,
DOI : 10.1145/1391469.1391622
Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.103-108, 2006. ,
DOI : 10.1145/1146909.1146941
Efficient monte carlo based methods for variability aware analysis and optimization of digital circuits, 2010. ,
VLSI circuit simulation and optimization, Champman and Références 183 ,
, , 1997.
Flexible lognormal sum approximation method, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005., pp.3413-3417, 2005. ,
DOI : 10.1109/GLOCOM.2005.1578407
Optimisation de dispositifs FDSOI pour la gestion de la consommation et de la vitesse : application aux mémoires et fonctions logiques, Thèse, 2006. ,
A 0.9V 150MHz 10mW 4mm 2 2-D Discrete Cosine Transform Core Processor with Variable-Threshold-Voltage Scheme, ISSCC, pp.166-168, 1996. ,
URL : https://hal.archives-ouvertes.fr/in2p3-00015158
Technology scaling behavior of optimum reverse body bias for standby leakage power reduction in CMOS IC's, Proceedings of the 1999 international symposium on Low power electronics and design , ISLPED '99, pp.99-252 ,
DOI : 10.1145/313817.313937
, ISSCC, 2000.
A 100nm Cooper/Low-K Bulk CMOS Technology with Multi Vt and Multi Gate Oxide Integrated Transistors for Low Standby Power, High Performance and RF/Analog System on Chip Applications, VLSI Technology, pp.16-17, 2002. ,
Low cost 65nm CMOS platform for Low Power & General Purpose applications, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004., pp.10-11, 2004. ,
DOI : 10.1109/VLSIT.2004.1345363
Low power architecture and design techniques for mobile handset LSI MedityTM M2., Power measurement Results Summary and conclusions, ASP DAC, pp.748-753, 2008. ,
La courbe ROC (receiver operating characteristic) : principes et principales applications en biologie clinique, Annale de Biologire Clinique, vol.63, issue.2, pp.145-154, 2005. ,
Digital signature of network segment for healthcare environments support, IRBM, vol.35, issue.6, pp.299-309, 2014. ,
DOI : 10.1016/j.irbm.2014.09.001