Computing Detection Probability of Delay Defects in Signal Line TSVs - LIRMM - Laboratoire d’Informatique, de Robotique et de Microélectronique de Montpellier Accéder directement au contenu
Communication Dans Un Congrès Année : 2013

Computing Detection Probability of Delay Defects in Signal Line TSVs

Résumé

Three-dimensional stacking technology promises to solve the interconnect bottleneck problem by using Through-Silicon-Vias (TSVs) to vertically connect tiers. However, manufacturing steps may lead to partly broken or incompletely filled TSVs that may degrade the performance and reduce the useful lifetime of a 3D IC. These are latent defects that affect circuit performance and reliability in stacked ICs and can be modeled as small-delay defects (SDDs). Due to combinations of switching activity, supply noise and crosstalk, TSV delays can experience speed-up or slowdown that could let SDDs go undetected by conventional test methods. In this work, we present a metric based on probabilistic delay analysis to detect SDDs induced by resistive opens that occur on signal line TSVs. Our experimental result will show the accurancy of the proposed metric.
Fichier non déposé

Dates et versions

lirmm-00839044 , version 1 (27-06-2013)

Identifiants

Citer

Carolina Momo Metzler, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard, et al.. Computing Detection Probability of Delay Defects in Signal Line TSVs. ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569349⟩. ⟨lirmm-00839044⟩
148 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More