Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration - LIRMM - Laboratoire d’Informatique, de Robotique et de Microélectronique de Montpellier Accéder directement au contenu
Communication Dans Un Congrès Année : 2014

Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration

Résumé

In order to improve performance and reduce cost, multi-processor system on chip (MPSoC) is increasingly becoming attractive. At the same time, 3D integration emerges as a promising technology for high density integration. 3D homogeneous MPSoCs combine the benefits of both. However, high current demand and large on-chip switching activity variations introduce severe power supply noises (PSN) for 3D MPSoCs, which can increase critical path delay, and degrade chip performance and reliability. Meanwhile, thermal gradient should also be considered for 3D MPSoCs to avoid hot spots. In the paper, we investigate the PSN effects of different workloads and propose an effective PSN estimation method. Then, a heuristic workload assignment algorithm is proposed to suppress PSN under the given thermal constraint. The experimental results show that PSNs can be reduced significantly compared with thermal-balanced workload assignment scheme, and the system performance can be improved as well.
Fichier non déposé

Dates et versions

lirmm-01248596 , version 1 (27-12-2015)

Identifiants

Citer

Yuanqing Cheng, Aida Todri-Sanial, Alberto Bosio, Luigi Dilillo, Patrick Girard, et al.. Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration. ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2014, Singapore, Singapore. pp.544-549, ⟨10.1109/ASPDAC.2014.6742948⟩. ⟨lirmm-01248596⟩
192 Consultations
0 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More